EP1676303A2 - Repairing damage to low-k dielectric materials using silylating agents - Google Patents

Repairing damage to low-k dielectric materials using silylating agents

Info

Publication number
EP1676303A2
EP1676303A2 EP04817126A EP04817126A EP1676303A2 EP 1676303 A2 EP1676303 A2 EP 1676303A2 EP 04817126 A EP04817126 A EP 04817126A EP 04817126 A EP04817126 A EP 04817126A EP 1676303 A2 EP1676303 A2 EP 1676303A2
Authority
EP
European Patent Office
Prior art keywords
dielectric film
organosilicate glass
glass dielectric
treatment
toughening agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04817126A
Other languages
German (de)
French (fr)
Inventor
Anil S. Bhanap
Teresa A. Ramos
Nancy Iwamoto
Roger Y. Leung
Ananth Naman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,682 external-priority patent/US7709371B2/en
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Priority claimed from PCT/US2004/031995 external-priority patent/WO2005034194A2/en
Publication of EP1676303A2 publication Critical patent/EP1676303A2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Abstract

A method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etchant or ashing treatment. These films are used as insulating materials in the manufacture of integrated circuits to ensure low and stable dielectric properties in these films. The method deters the formation of stress-induced voids in these films. An organosilicate glass dielectric film is patterned to form vias and trenches by subjecting it to an etchant or ashing reagent in such a way as to remove at least a portion of previously existing carbon containing moieties and reduce hydrophobicity of said organosilicate glass dielectric film. The vias and trenches are thereafter filled with a metal and subjected to an annealing treatment. After the film is subjected to the etchant or ashing reagent, but before being subjected to an annealing treatment, the film is contacted with a toughening agent composition to restore some of the carbon containing moieties and increase the hydrophobocity of the organosilicate glass dielectric film.

Description

REPAIRING DAMAGE TO LOW-K DIELECTRIC MATERIALS USING SILYLATING AGENTS
CROSS REFERENCE TO RELATED APPLICATION
This application claims the benefit of U.S. provisional patent application
60/510,024, filed on October 8, 2003, which application is incorporated herein by reference.
BACKGROUND OF THE INVENTION
Field Of The Invention
The invention pertains to a method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etching or ashing treatment in such a way as to remove at least a portion of previously existing carbon containing moieties, resulting in a film having reduced hydrophobicity. These films are used as insulating materials in the manufacture of semiconductor devices such as integrated circuits ("ICs"), in order to ensure low dielectric constant and stable dielectric properties in these films.
Description of the Related Art
As feature sizes in integrated circuits are reduced, problems with interconnect RC delay, power consumption and signal cross-talk have become increasingly difficult to resolve. It is believed that the integration of low dielectric constant materials for interlevel dielectric (ILD) and intermetal dielectric (IMD) applications will help to solve these problems. While there have been previous efforts to apply low dielectric constant materials to integrated circuits, there remains a longstanding need in the art for further improvements in processing methods and in the optimization of both the dielectric and mechanical properties of such materials. Device scaling in future integrated circuits clearly requires the use of low dielectric constant materials as a part of the interconnect structure. Most candidates for low dielectric constant materials for use in sub-lOOnm generation ICs are carbon containing Si02 films formed by either CVD or spin-on methods. During subsequent processing steps, such as plasma etching and photoresist removal using plasma or wet strip methods, significant damage occurs to these low-k materials, that causes fluorine addition and carbon depletion from the low-k material adjacent to the etched surface. In addition to a higher effective k, the resultant structures are susceptible to void formation, outgassing and blister formation. The voids in turn may cause an increase in leakage current at elevated voltages and reduction in breakdown voltage. The present invention describes a way to reduce the damage and resulting issues by treating the wafers with silylating agents after the damage is caused.
The use of non-damaging ash chemistry, such as H /He has been reported to reduce carbon depletion and associated problems. In this regard, see I. Berry, A. Shiota, Q. Han, C. Waldfried, M. Sekiguchi, and O. Escorcia, Proceedings - Electrochemical Society, 22, 202 (2002); and A. Matsushita, N. Ohashi, K. Inukai, H. J. Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto, and N. Kobayashi, Proceedings of IEEE International Interconnect Technology Conference, 2003, 147 (2003). Alternatively, post-ash treatments that replenish carbon have also been shown to restore hydrophobicity and lower the dielectric constant. Post-ashing treatments that replenish carbon have also been shown to restore hydrophobicity and lower dielectric constant. In this regard, see Y. S. Mor, T. C. Chang, P. T. Liu, T. M. Tsai, C. W. Chen, S. T. Yan, C. J. Chu, W. F. Wu, F. M. Pan, W. Lur; and S. M. Sze, Journal of Vacuum Science & Technology, B, 2 (4), 1334 (2002); and P. G. Clark, B. D. Schwab, and J. W. Butterbaugh, Semiconductor International, 26 (9), 46 (2003). An advantage of the later approach is that it allows the use of well- established etching and ashing processes. To this end, it would be desirable to repair damage caused to a porous SiCOH-based low-k material using a post-ash treatment. The treatment could result in replenishment of carbon to the low-k film, thereby restoring hydrophobicity and resistance to further damage during a wet cleaning operation.' Additionally, it would be desirable if the repaired low-k material was found to be resistant to void formation, which generally occurs in untreated porous low-k inter-level dielectric regions during copper annealing processes. Silylating agents ("toughening agents") can methylate the surface of Si0 based materials. Contemplated exposure includes vapor exposure (with or without plasma), spin coating and supercritical C0 . Normally, SiCOH based porous low-k materials are susceptible to void formation in ILD during Cu damascene processing. After a toughening agent treatment, the resulting structure is significantly more resistant to void formation. Without being bound to any specific theory or mechanism, it is believed that plasma damage causes carbon depletion in the dielectric, by replacing Si-CH3 bonds with Si-OH bonds. In damaged porous dielectrics, the pore surface is now covered with Si-OH bonds. In the presence of tensile stress (such as after Cu annealing), adjacent Si-OH groups can condense, thus causing local densification. The evolving reaction products and the stretching of the molecules due to the new links formed, causes voids to occur near the center of the ILD space. Toughening agents prevent void formation by replacing most Si-OH bonds by Si-O-Si-Rn bonds, which avoid condensation reactions. Therefore void formation does not occur.
The toughening treatment performed after dielectric trench and via formation and etching and ashing steps repairs carbon depletion and damage to the low-k materials. By this means, voids are deterred and the later can withstand internal stresses caused by annealing treatments to the metal filling the trenches and vias.
The toughening treatment is conducted by exposing the wafer surface to the silylating agent in liquid or gas form for a period sufficient to complete the reaction with the damaged low-K region. Optionally, a high temperature bake can be performed to remove remaining solvent and excess toughening agent. Also, optionally, a wet cleaning operation can be performed immediately after the toughening agent application, or after the baking step, using a commercially available chemical compatible with the low-k dielectric. Additionally a dehydration bake may be performed before the toughening agent treatment, to increase effectiveness of the toughening agent treatment.
The effectiveness of the toughening agent treatment can be verified using unpatterned low-k dielectric films subjected to etching and ashing processing followed by the toughening agent treatment. A successful toughening agent treatment results in increased carbon concentration that can be measured by FTIR, EDX, or XPS techniques. Additionally, a water contact angle increase is seen, which demonstrates the hydrophobic nature of the post-treatment surface. The toughening agent treated film also shows a lower dielectric constant extracted from C-V measurements, compared to an etched/ashed film that is not treated with toughening agent. In patterned wafers, the effectiveness of the toughening agent treatment is demonstrated by reduction or elimination of voids in the low-k dielectric in narrow spaces between Cu trenches after a copper anneal treatment following electroplating of copper, and also by lower profile change in trenches or vias after exposure to reactive solvents.
SUMMARY OF THE INVENTION
The invention provides a method for deterring the formation of stress-induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been patterned to form vias and trenches therein, and which organosilicate glass dielectric film has thereafter been subjected to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film, and which vias and trenches have been thereafter filled with a metal, and which metal has then been thereafter subjected to an annealing treatment, the method comprising contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said vias and trenches have been filled with a metal, with a toughening agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film.
The invention also provides a method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment.
The invention provides a microelectronic device produced by a process comprising: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least on treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment. DETAILED DESCRIPTION OF THE INVENTION
In the context of the present invention, dielectric materials having low dielectric constants, typically below 3 are especially desirable because they typically allow faster signal propagation, reduce capacitive effects and cross talk between conductor lines, and lower voltages to drive integrated circuits. One material with a low dielectric constant is silica which can be applied as a foamed dielectric material. For the lowest possible dielectric values, air is introduced into silica dielectric materials. Air has a dielectric constant of 1. and when air is introduced into a silica dielectric material in the form of nanoporous or nanometer-scale pore structures, relatively low dielectric constants ("k") are achieved. It should be understood that unless the "Siθ2" functional group is specifically mentioned when the term "silica" is employed, the term "silica" as used herein, for example, with reference to porous and non-porous dielectric films, is intended to refer to dielectric films prepared by the inventive methods from an organic or inorganic glass base material, e.g., any suitable starting material containing one or more silicon-based dielectric precursors. It should also be understood that the use of singular terms herein is not intended to be so limited, but, where appropriate, also encompasses the plural, e.g., exemplary processes of the invention may be described as applying to and producing a "film" but it is intended that multiple films can be produced by the described, exemplified and claimed processes, as desired. The term, "film" as used herein with regard to silica dielectric materials is intended to encompass any other suitable form or shape in which such silica dielectric materials are optionally employed. Nanoporous silica is attractive because it employs similar precursors, including organic-substituted silanes, e.g., tetramethoxysilane ("TMOS") and/or tetraethoxysilane ("TEOS"), as are used for the currently employed spin-on-glasses ("SOG") and chemical vapor disposition ("CVD") silica Si02. As used herein, the terms "void" and "pore" mean a free volume in which a mass is replaced with a gas or where a vacuum is generated. The composition of the gas is generally not critical, and appropriate gases include relatively pure gases and mixtures thereof, including air. The nanoporous polymer may comprise a plurality of pores. Pores are typically spherical, but may alternatively or additionally have any suitable shape, including tubular, lamellar, discoidal, or other shapes. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any appropriate diameter. It is further contemplated that at least some pores may connect with adjacent pores to create a structure with a significant amount of connected or "open" porosity.
Nanoporous silica films have previously been fabricated by a number of methods. Suitable silicon-based precursor compositions and methods for forming nanoporous silica dielectric films, are described, for example, by the following co-owned U.S. patents 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855 all incorporated herein by reference herein.
Other dielectric and low dielectric materials comprise inorganic-based compounds, such as the silicon-based disclosed in commonly assigned pending U.S. patent application serial No. 10/078919 filed February 19, 2002; (for example NANOGLASS® and HOSP® products commercially available from Honeywell International Inc.). The dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, chemical vapor deposition (CVD), rolling the material onto the surface, dripping the material on to the surface, and/or spreading the material onto the surface. Dielectrics useful for this invention include CVD deposited materials, such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
As used herein, the phrases "spin-on material", "spin-on organic material", "spin- on composition" and "spin-on inorganic composition" may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process. Examples of silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached. Spin-on-glass materials also includes siloxane polymers and block polymers, hydrogensiloxane polymers of the general formula (H0-1.oSi01.5-2.o)χ and hydrogensilsesquioxane polymers, which have the formula (HSi01.5)χ, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin-on glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-i.oSiOi.5_2.o)n(Ro-i.oSiO1.5-2.o)mj and organohydridosilsesquioxane polymers of the general formula (HSi01.5)n(RSi01.5)m, where m is greater than zero and the sum of n and is greater than about four and R is alkyl or aryl. Some useful organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a CrC20 alkyl group or a C6-C12 aryl group. The organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof. Several of the contemplated spin-on materials are described in the following issued patents and pending applications, which are herein incorporated by reference in their entirety: U.S. patents 6,506,497; 6,365,765; 6,268,457; 6,177,199; 6,358,559; 6,218,020; 6,361,820; 6,218,497; 6,359,099; 6,143,855; 6,512,071, U.S. patent application serial no. 10/001143 filed November 10, 2001; PCT/US00/15772 filed June 8, 2000, and PCT/USOO/00523 filed January 7, 1999.
Solutions of organohydridosiloxane and organosiloxane resins can be utilized for forming caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These organohydridosiloxane resin layers are compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in U.S. patents 6,214,746; 6,171,687; 6,172,128; 6,156,812, US Application Serial No. 60/350187 filed January 15, 2002; U.S. patent application serial no. 09/538276; U.S. patent application serial no. 09/544504; U.S. patent application serial no. 09/587851; and U.S. 60/347195 filed January 8, 2002; PCT Application PCT/US01/32569 filed October 17, 2001; PCT Application PCT/US01/50812 filed December 31, 2001, which are all incorporated herein by reference.
Suitable organohydridosiloxane resins utilized herein have the following general formulas:
[H-Siι.5]„[R-SiOι.5]m Formula (1)
[Ho.5-Siι.s - ι.8]n[Ro.5-ι.o-SiOι.5. i.8]m Formula (2) [Ho-i.o-Sij.sjntR-SiO Jm Formula (3) [H-Siι.5]x[R-SiOι.5]y[Siθ2]z Formula (4) wherein:
the sum of n and m, or the sum or x, y and z is from about 8 to about 5000, and m or y is selected such that carbon containing constituents are present in either an amount of less than about 40 percent (Low Organic Content = LOSP) or in an amount greater than about 40 percent (High Organic Content = HOSP); R is selected from substituted and unsubstituted, normal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials. In some LOSP embodiments, particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can also be used as one of the layers. Nanoporous silica films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film. The silicon-based precursor composition comprises monomers or prepolymers that have the formula: Rx-Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4. Other nanoporous compounds and methods can be found in U.S. patents 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,270, which are incorporated herein in their entirety.
The phrases "cage structure", "cage molecule", and "cage compound" are intended to be used interchangeably and refer to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system. In other words, a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume, such that a point located with the volume can not leave the volume without passing through the ring. The bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated. Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge. For example, an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spiro compound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spiro compound do not have one, or more than one bridge.
Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations. With respect to substituents and derivatizations of contemplated cage compounds, it should be recognized that many substituents and derivatizations are appropriate. For example, where the cage compounds are relatively hydrophobic, hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa. Alternatively, in cases where polarity is desired, polar side groups may be added to the cage compound. It is further contemplated that appropriate substituents may also include thermolabile groups, nucleophilic and electrophilic groups. It should also be appreciated that functional groups may be utilized in the cage compound (e.g., to facilitate crosslinking reactions, derivatization reactions, etc.) Cage molecules or compounds, as described in detail herein, can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USO 1/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety. Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups. Furthermore, appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer. Moreover, alternative polymers may have various forms, such as linear, branched, super- branched, or three-dimensional. The molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more. Additives can also be used to enhance or impart particular properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like. Compatible or non-compatible polymers can be blended in to give a desired property. Adhesion promoters can also be used. Such promoters are typified by hexamethyldisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity.
Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers.
The materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material. Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers. Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs. The solvent may also comprise any suitable single polar and non-polar compounds or mixture thereof. As used herein, theiermϋpolar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As used herein, the term "non-polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. In some contemplated embodiments, the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents. Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents. Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together. Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated Irydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof.
In other contemplated embodiments, the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, 3-pentanone, diethyl ketone, methyl ethyl ketone and the like, alcohols, ketones, esters, ethers and amines. In yet other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
In preferred embodiments, the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole.
It is still further contemplated that alternative low dielectric constant material may also comprise additional components. For example, where the low dielectric constant material is exposed to mechanical stress, softeners or other protective agents may be added. In other cases where the dielectric material is placed on a smooth surface, adhesion promoters may advantageously employed. In still other cases, the addition of detergents or antifoam agents may be desirable. In general, a precursor in the form of, e.g., a spin-on-glass composition that includes one or more removable solvents, is applied to a substrate, and then polymerized and subjected to solvent removal in such a way as to form a dielectric film comprising nanometer-scale pores.
When forming such nanoporous films, e.g., wherein the precursor is applied to a substrate by spin-coating, the film coating is typically catalyzed with an acid or base catalyst and water to cause polymerization/gelation ("aging") during an initial heating step. The film is then cured, e.g., by subjecting the film to one or more higher temperature heating steps to, inter alia, remove any remaining solvent and complete the polymerization process, as needed. Other curing methods include subjecting the film to radiant energy, e,g., ultraviolet, electron beam, microwave energy, and the like.
Co-owned U.S. patents 6,204,202 and 6,413,882, incorporated by reference herein, provide silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more polymers or oligomers present in the precursor composition. Co-owned U.S. patent 6,495,479, provides silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more compounds or polymers present in the precursor composition. U.S. patent 5,895,263 describes forming a nanoporous silica dielectric film on a substrate, e.g., a wafer, by applying a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer, heating the composition to further condense the polysilica, and decomposing the decomposable polymer to form a porous dielectric layer.
Processes for application of precursor to a substrate, aging, curing, planarization, and rendering the film(s) hydrophobic are described, for example, by co-owned U.S. patents 6,589,889 and 6,037,275, among others. Substrates and wafers contemplated herein may comprise any desirable substantially solid material. Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material. In preferred embodiments, the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via- wall or stiffener interface ("copper" includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide. The "substrate" may even be defined as another polymer chain when considering cohesive interfaces. In more preferred embodiments, the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
Subsequent semiconductor manufacturing processes such as deposition of cap film by PECVD techniques, and via and trench formation by patterning by means of etching and ashing, tend to remove carbon containing moieties which are hydrophobic groups from the organosilicate glass dielectric films and replace them with silanol groups. Undesirable properties result when the organosilicate glass dielectric films contain silanol groups. Silanols, and the water that they can adsorb from the air are highly polarizable in an electric field, and thus will raise the dielectric constant of the film, and will lower resistance to wet cleaning chemistries and increase volatile evolution. Also, when the trenches and vias are filled with a metal and subjected to an annealing treatment, metal shrinkage induces a stress on the via and trench walls and cause undesirable voids to form inside the dielectric material between the vias and trenches.
In order to remedy this problem, the organosilicate glass dielectric films are made substantially free of silanols and water by treatment with a toughening agent to restore carbon containing moieties and increase the hydrophobicity of the organosilicate glass dielectric film. This makes the film resistant to stresses on the via and trench walls, such as induced by metal shrinkage during annealing, stress from other dielectric layers, and stress during packaging, thus deters undesirable voids from forming inside the dielectric material between the vias and trenches.
Etching and plasma remove hydrophobic functional groups. Damage to organosilicate glass dielectric films during semiconductor manufacturing processes results from the application of aggressive plasmas and/or etching reagents to etch trenches and vias into dielectric films. Plasmas are also used to remove photoresist films during fabrication of semiconductor devices. The plasmas used are typically composed of the elements oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen (in the form of free atoms, compounds, ions and/or radicals).
Dielectric films which are exposed to these plasmas during trench, via, etch and/or photoresist removal are easily degraded or damaged. Porous dielectric films have a very high surface area and are therefore particularly vulnerable to plasmas damage. In particular, silica based dielectric films which have organic content (such as methyl groups bonded to Si atoms) are readily degraded by oxygen plasmas. The organic group is oxidized into C02 and a silanol or Si-OH group remains on the dielectric surface where the organic group formerly resided. Porous silica films depend on such organic groups (on pore surfaces) to remain hydrophobic. Loss of the hydrophobicity makes the dielectric constant rise (the low dielectric constant of such films is the key desired property of such materials).
Wet chemical treatments are also used in IC production for the purpose of removing residues leftover after trench or via etching. The chemicals used are often so aggressive they will attack and remove organic groups in silica based dielectric films, especially porous silica films. Again, this damage will cause the films to lose their hydrophobicity. Wet chemical etchants include, for example, amides, such as N-methylpyrrolidinone, dimethylformamide, dimethylacetamide; alcohols such as ethanol and 2-propanol; alcoholamines such as ethanolamine; amines such as triethylamine; diamines such as ethylenediamine and N,N- diethylethylenediamine; triamines such as diethylenetriamine, diamine acids such as ethylenediaminetetracetic acid "EDTA"; organic acids such as acetic acid and formic acid; the ammonium salts of organic acids such as tetramethylammonium acetate; inorganic acids such as sulfuric acid, phosphoric acid, hydrofluoric acid; fluoride salts such as ammonium fluoride; and bases such as ammonium hydroxide and tetramethyl ammonium hydroxide; and hydroxyl amine; commercial formulations developed for post etch wet cleaning such as EKC 505, 525, 450, 265, 270, and 630 (EKC Corp., Hayward CA), and ACT-CMI and ACT-690 (Ashland Chemical, Hayward, CA). to name but a few art-known etchants. Ashing agents include plasmas derived from hydrogen, nitrogen, helium, argon, oxygen, and mixtures derived therefrom, and the like. In order to solve the above mentioned problems the invention provides methods of imparting hydrophobic properties to organosilicate glass dielectric films present on a substrate during the process of fabricating a semiconductor or IC device.
The methods of the invention include the steps of contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said metal has been subjected to an annealing treatment, with a toughening agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film; and (b) removing unreacted toughening agent composition, reaction products and mixtures thereof. The toughening agent composition includes at least one toughening agent, i.e., a compound or charged derivative thereof, suitable for removing silanol moieties from the damaged silica dielectric film. Optionally, the etchant-damaged nanoporous silica dielectric film is then subjected to wet cleaning step.
In one embodiment, the toughening agent composition includes at least one toughening agent compound having a formula as follows: (1) [-SiR2NR'-]n where n >2 and may be cyclic; (2) R3SiNR'SiR3, (3) (R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCly, (8) RxSi(OH)y , (9) R3SiOSiR'3, (10) RxSi(OR')y, (11) RxSi(OCOR' )y, (12) RxSiHy; (13) RxSi[OC(R')=R"] -x and combinations thereof,
wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4-x; each R is an independently selected from hydrogen and a hydrophobic organic moiety. The R groups are preferably independently selected from the group of organic moieties consisting of alkyl, aryl and combinations thereof. The R' group may be H, alkyl, aryl, or carbonyl such as COR, CONR, C02R. The R" may be alkyl or carbonyl such as COR, CONR, C02R
In another particular, the toughening agent composition includes at least one of the following toughening agent or compounds: acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethyl silane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrirriethoxysilane, dimethyldimethoxysilane, , trimethylmethoxysilane, methyltrichlorosilane, dimethyl dichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis(dimethylamino)dimethylsilane, bis(diethylamino)dimethylsialne, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(dimethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate, 2-trimethylsiloxypent-2-ene-4-one, n- (trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n- (trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, and combinations thereof. In a desired embodiment of the invention the toughening agent comprises dimethyldiacetoxysilane.
Optionally, the toughening agent composition includes a solvent. Suitable solvents include, for example, ketones, ethers, esters, hydrocarbons, and combinations thereof. The toughening agent composition contacts the damaged silica dielectric film as a liquid, vapor or gas, and/or plasma. If in the form of a plasma, the plasma can be derived from a silane compound, a hydrocarbon, an aldehyde, an ester, an ether, and/or combinations thereof. The terms, "agent" or "agents" herein should be considered to be synonymous with the terms, "reagent" or "reagents," unless otherwise indicated.
A suitable toughening agent composition includes one or more toughening agents able to remove silanol groups from the surface of an etched and/or ashed organosilicate glass dielectric film that it is desired to render hydrophobic. For example, a toughening agent is a compound having a formula selected from the group consisting of Formulas I (l-13 ): (l) [-SiR2NR'-]n where n >2 and may be cyclic; (2) R3SiNR'SiR3, (3) (R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCly, (8) RxSi(OH)y , (9) R3SiOSiR'3, (10) RxSi(OR')y, (11) RxSi(OCOR' )y, (12) RxSiHy; (13) RxSi[OC(R')=R"]4-x and combinations thereof,
wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4-x; each R is an independently selected from hydrogen and a hydrophobic organic moiety. The R groups are preferably independently selected from the group of organic moieties consisting of alkyl, aryl and combinations thereof. The R' group may be H, alkyl, aryl, or carbonyl such as COR, CONR, C02R. The R" may be alkyl or carbonyl such as COR, CONR, C02R
The alkyl moiety is functionalized or non-functionalized and is selected from the group consisting of straight alkyl, branched alkyl, cyclic alkyl and combinations thereof, and wherein said alkyl moiety ranges in size from Ci to about Cι8. The aryl moiety is substituted or unsubstituted and ranges in size from C5 to about C18. Preferably the toughening agent is an acetoxysilane, or, for example, a monomer compound such as acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, , methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis(dimethylamino)dimethylsilane, bis(diethylamino)dimethylsialne, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(dimethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate, 2-trimethylsiloxypent-2-ene- 4-one, n-(trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n- (trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, , hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, and combinations thereof. In one noteworthy embodiment, the toughening agent is methyltriacetoxysilane. In a preferred embodiment the toughening agent is dimethyldiacetoxysilane.
Additional toughening agents include multifunctional surface modification agents as described in detail in U.S. patent 6,208,014, incorporated by reference herein, as described above. Such multifunctional surface modification agents can be applied in either vapor or liquid form, optionally with or without co-solvents. Suitable co-solvents include, e.g., ketones, such as acetone, diisopropylketone, 2- heptanone, 3-pentanone, and others, as described in detail in co-owned U.S. patent 6,395,651, the disclosure of which is incorporated by reference herein. For example, as described in detail in U.S. 6,208,014, certain preferred surface modification agents will have two or more functional groups and react with surface silanol functional groups while minimizing mass present outside the structural framework of the film, and include, e.g., surface silanols may condense with suitable silanols such as Rx Si(OH)4-x Formula II
wherein x=l-3 and each R is independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these. When R is an alkyl, the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from to about Cj8, or greater, and more preferably from d to about C8. When R is aryl, the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C5 to about C18 , or greater, and more preferably from C5 to about C8. In a further option, the aryl moiety is a heteroaryl.
In another embodiment, alkoxy silanes may be used as the toughening agent, e.g. suitable alkoxy silanes such as RxSi(OR')4-x wherein R are independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these; R' are independently selected alkyl or aryl moieties. When Ror R' is an alkyl, the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C\ to about Cι8, or greater, and more preferably from C\ to about C8. When R or R' is aryl, the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C5 to about C18 , or greater, and more preferably from C5 to about C8. In a further option, the aryl moiety is a heteroaryl. Thus, the R groups independently selected from H, methyl, ethyl, propyl, phenyl, and/or derivatives thereof, provided that at least one R is organic. In one embodiment, both R groups are methyl, and a tri-functional surface modification agent is methyltrimethoxysilane.
In another embodiment, a suitable silane according to the invention has the general formula of RxSi(NR2) 4-x Formula III
wherein X= 1-3, Rare independently H, alkyl and/or aryl. Wherein any Rare alkyl and/or aryl. In preferred embodiments, R is selected from H, CH , C6H5, and R2 and R3 are both CH3. Thus tri-functional toughening agents include, e.g., tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, and/or tris(dimethylamino)silane. In addition, disubstituted silanes may be used such as hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, and bisdiethylaminodimethylsilane.
In yet another embodiment, a suitable silane according to the invention has the general formula of RxSi (ON=CR2)4-xor RxSi[OC(R')=R"]4-Formula IV
wherein x= 1-3 and the R groups are independently H, alkyl and/or aryl, R' may be H, alkyl, aryl, alkoxy or aryloxy, and R" may be alkyl or carbonyl. . Thus modification agents include, e j., methyltris(methylethylketoxime)silane or 2- trimethylsiloxypent-2-ene-4-one respectively .
In yet another embodiment, a suitable silane according to the invention has the general formula of RxSi (NCOR2) 4.x or RxSi(NCO) -x wherein x= 1-3, R groups are independently H, alkyl and/or aryl. Thus surface modification agents include, e.g., dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyante.
In yet a further embodiment, a suitable silane according to the invention has the general formula of Rx SiCl4-x Formula V
wherein x= 1-3, is H, alkyl or aryl . In one preferred embodiment, R is CH . Thus tri-functional surface modification agents according to Formula V include, e.g., methyltrichlorosilane.
In a more preferred embodiment, the capping reagent includes one or more organoacetoxysilanes which have the following general formula, (R,)xSi(OCOR2)y Formula VI
Preferably, x is an integer ranging in value from 1 to 2, and x and y can be the same or different and y is an integer ranging from about 2 to about 3, or greater.
Useful organoacetoxysilanes, including multifunctional alkylacetoxysilane and/or arylacetoxysilane compounds, include, simply by way of example and without limitation, methyltriacetoxysilane ("MTAS"), dimethyldiacetoxysilane (DMDAS), phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
Optionally, the toughening agents are mixed with a suitable solvent such as 2- heptanone, applied to the nanoporous silica surface in the form of a vapor or liquid, and then dried. In a contemplated embodiment, a mixture of 50% hexamethyldisilazane (HMDZ) and 50% 3-pentanone is used. The liquid is spin coated on to a surface, substrate or wafer. The coated surface is then baked on bake plates at up to 425°C. Baking is then followed by PVD barrier and Cu-seed deposition. In another contemplated embodiment, a mixture of dimethyldiacetoxysilane (DMDAS) and 3-pentanone is used. The liquid is spin coated on to a surface, wafer or substrate. The coated surface is then baked on bake plates at up to 425°C. This baking step is followed by PVD barrier and Cu- seed deposition.
In yet another embodiment, a wet clean using chemicals such as AP395 or dilute HF is performed after the bake step in the above-mentioned embodiments. The wet clean is useful to remove any resist residues remaining after the ash. Untreated low-k dielectric materials after etch and ash are prone to attack by the wet clean agents. The toughening agent treatment significantly improves resistance of the low-k dielectric to attack by wet clean.
Depending on the process flow, a copper surface may be exposed during the toughening agent treatment, especially at the bottom of via. In addition to removing native oxide from copper surface, the wet clean can also remove any reaction product between toughening agent and an exposed copper surface. Specifically, a wet clean using AP395 can clean a copper (or any suitable metal or metal alloy) surface that is previously exposed to a toughening agent treatment using DMDAS.
Thereafter the vias and trenches may be filled with a metal; and the metal subjected to an annealing treatment. As used herein, the term "metal" means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium. As used herein, the phrase "d-block" means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals surrounding the nucleus of the element. As used herein, the phrase "f-block" means those elements that have electrons filling the 4f and 5f orbitals surrounding the nucleus of the element, including the lanthanides and the actinides. Preferred metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum. The term "metal" also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites. Annealing may be done by heating at temperatures of from about 150 °C to about 350 °C, or 200 °C to 250 °C for about 10 seconds to about 60 minutes. These times and temperatures are not critical as long as annealing is performed. In yet another embodiment, the wet clean can be performed before the bake process in the first contemplated embodiment. The high temperature bake step is performed after the wet clean. An advantage of this method can be that the wet clean can remove excess toughening agent and any reaction product with any exposed copper surface, before it is "hardened" by the bake process. This can result in lower volatile components in the dielectric material and a cleaner copper surface. Both can result in an improved long term reliability. In another contemplated embodiment, an additional dehydration bake at from about 100 °C to about 400 °C from 1 min to 120 min is performed before the toughening agent (TA) treatment. The dehydration bake removes any moisture adsorbed in the damaged low-k dielectric. Removal of moisture from the dielectric prior to toughening agent treatment renders the treatment more effective.
In an alternative embodiment, the toughening agent composition is provided by exposing the etchant-damaged organosilicate glass dielectric film to a plasma which is derived from any of the above mentioned toughening agent. In a typical procedure, the organosilicate glass dielectric film is placed in a plasma generating chamber, such as a plasma enhanced chemical vapor deposition (PECVD) system; the vapor of a toughening agent composition and argon vapor are passed through the plasma generating chamber; then an RF energy source is activated to create a plasma; the argon gas is included to help promote the formation of plasma. The plasma is composed of ionic fragments derived from the toughening agent composition; for example, the ion fragment CH3Si+ is generated from methylsilane (CH3SiH3). This fragment reacts with silanol groups to form hydrophobic Si-CH moieties. Any of the above mentioned toughening agent compositions can be used for this plasma induced surface treatment.
Other suitable toughening agent compositions for a plasma induced surface modification treatment include C] - Cι2 alkyl and aromatic hydrocarbons. The most preferred hydrocarbon is methane. Other reagents for plasma induced toughening agent compositions include aldehydes, esters, acid chlorides, and ethers. Suitable aldehydes include acetaldehyde and benzaldehyde; suitable esters include ethyl acetate and methyl benzoate; suitable acid chlorides include acetyl chloride and benzyl chloride; and suitable ethers include diethyl ether and anisole. A wide variety of single wafer or multiple wafer (batch) plasma systems can be used for this process; these systems include so called downstream ashers, such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems. Broadly, the conditions for the plasma process are within the following ranges: chamber temperature, 20C to 450°C; RF power, 50W to 1000W; chamber pressure, 0.05 to 100 torr; plasma treatment time, 5 seconds to 5 minutes; and surface modification flow rate, 100 - 2000 seem; inert gas flow rate (typically argon), 100- 2000sccm. The artisan will appreciate that the invention is also contemplated to encompass methods of imparting a hydrophobic surface to silica dielectric films, porous and/or nonporous, whether damaged or not, by application of the above-described plasma surface treatments. Semiconductor devices or ICs manufactured using these methods are also a part of the present invention.
Treated dielectric layers and materials may be utilized or incorporated into any suitable electronic component. Electronic components, as contemplated herein, are generally thought to comprise any dielectric component or layered dielectric component that can be utilized in an electronic-based product. Contemplated electronic components comprise circuit boards, chip packaging, dielectric components of circuit boards, printed-wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors.
Electronic-based products can be "finished" in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are "intermediate" products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up mock-up. A prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested. Electronic products and components may comprise layered materials, layered components, and components that are laminated in preparation for use in the component or product. The following non-limiting examples serve to illustrate the invention.
EXAMPLE 1
A series of 6000A NANOGLASS E nanoporous silica films, commercially available from Honeywell International, inc of Sunnyvale, California, were coated onto a 200 mm silicon substrate and then exposed to a C4F8-based etch and 0 - based ash process in a TEL DRM-85 etcher. Two types of toughening agents (TA-1 and TA-2) were evaluated. The toughening agents were applied to the wafers in a standard spin-on dielectric (SOD) coater, and the wafers were hot plate baked at 125°C, 200°C, and 350°C for 1 minute each. Film thickness and refractive index were measured using ellipsometry. Elemental composition was analyzed using FTIR. Dielectric constant was measured on an Hg probe at 0.1 MHz. Thermal stability of the films was evaluated by thermal desorption mass spectroscopy (TDMS). Single level metal Cu damascene structures were prepared with 3000A NANOGLASS E films as the ILD and 2000A TEOS oxide a cap layer. Cu annealing was performed at 200°C for 1 hr in a N2 ambient, following which, a focused ion beam scanning electron microscope (FIB-SEM) was used to inspect for voids in the ILD. After Cu chemical mechanical polishing (CMP), 25 die per wafer were electrically tested using an automatic prober.
The properties of as-cured NANOGLASS®E films are presented in Table I.
Table 1. General properties of as-cured NANOGLASS®E films
From the FTIR spectra of NANOGLASS E it is observed that the etch and ash processes caused a 30-40% reduction in C-H and Si-C content and a significant rise in Si-OH and H-OH bonds compared to an as-cured film. The toughening agent treatments resulted in C-H and Si-C content close to that of an as-cured film. TA-2 was more effective in replenishing carbon, and reducing Si-OH and H- OH bonds than TA-1.
The dielectric constant (k) of the low-k film is high (> 3.0) after etch and ash processes. This is expected to be due to the moisture adsorption by the Si-OH groups. The toughening agent treatment reduced the k to a near post-cure level.
Table II shows that after etch and ash NANOGLASS E film was hydrophilic and had a high etch rate in most wet clean chemistries, making them unsuitable for wet clean. TA-1 treatment made the film hydrophobic as well as resistant to some of the wet clean chemicals.
Table II. Effect on NANOGLASS E films of exposure to various wet clean chemistries after etch-ash (control) and after etch-ash and TA-1 treatment (TA-1)
TDMS spectra of the dielectric film at 400 °C show that evolution of volatile species in TA-1 treated film is similar to the film after etch and ash. TA-2 treated film shows a significantly improved thermal stability with low volatiles. In the control case (i.e. without toughening agent), significant voids can be seen in the ILD space after Cu annealing. No voids were observed prior to the annealing. Similar observations have been reported previously with porous low-k materials. (See A. Matsushita, N. Ohashi, K. Inukai, H. J. Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto, and N. Kobayashi, Proceedings of IEEE International Interconnect Technology Conference, 2003, 147 (2003); and J. C. Lin, R. A. Augur, B. J. Daniels, S. L. Shue, C. H. Yu, and M. S. Liang, Proceedings of Advanced Metallization Conference 2002, 637 (2002)). Tensile stress from the Cu anneal treatment is expected to be the driving force for void formation. The wafers treated with TA-1 or TA-2 showed no voids even after the Cu anneal. Thus, "repairing" the C-depletion damage is proven to be an effective way to toughen the porous low-k material against void formation due to external stresses.
Line-to-line leakage current was not affected by the toughening agent treatment. Control wafers showed a wide distribution of serpentine resistance. The high resistance tail was found to be due to defects such blisters, Cu corrosion, and pitting which were likely caused by moisture trapped in damaged ILD region. Toughening agent treated wafers showed tight resistance distribution, since moisture entrapment and associated defects were eliminated. While median capacitance was not affected by the toughening agent treatment, the treated comb capacitor structures had a higher yield due to fewer pitting/blister defects.
The effect of process-induced damage on NANOGLASS® E and other similar Si- based films has been disclosed. A post-ash treatment with toughening agents TA- 1 or TA-2 was able to restore properties of an un-damaged material. A successful application toughening agents in SLM structures was demonstrated, which result in elimination of ILD voids and higher yield on interconnect test structures. EXAMPLE 2 6000A HOSP silicon films, commercially available from Honeywell International Inc. of Sunnyvale, California, was formed on a Si wafer by a standard spin coating method. The films were cured in N2 at 400 °C. Plasma damage was induced into the films by sequentially subjecting them to the following processes:
Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40°C (20sec) Ash: 400W/45mT/ lOOsccm 02, 40°C (20 sec)
A toughening agent treatment was performed using 27% DMDAS in 3-pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2.
The following results were noted:
EXAMPLE 3 6000 A NANOGLASS 1.9 films, commercially available from Honeywell International Inc. of Sunnyvale, California, were formed on Si wafers by a standard spin coating method. Films were cured in N2 at 425 °C. Plasma damage was induced into the films by sequentially subjecting them to the following processes:
Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40°C (20sec).
Ash: 400W/45mT/ lOOsccm 02, 40°C (20 sec).
Toughening agent treatment was performed using 27% DMDAS in 3-Pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2
The following results were noted:
EXAMPLE 4 Plasma damage was imparted to a commercial porous CVD low k dielectric film by sequentially subjecting them to the following processes: Etch: 40mT, 1400W160 Ar/ 80 CF4 /20 0240mT, 1400W, 20 s Ash: 400W/45mT/ lOOsccm 02, 40°C (30 sec). A toughening agent treatment was performed using 27% DMDAS in 3-pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2
The following results were noted:
EXAMPLE 5
6000 A NANOGLASS films, commercially available from Honeywell International Inc. of Sunnyvale, California, were prepared using a conventional bake process of 125 °C, 200 °C, and 350 °C. Instead of a conventional furnace cure at 425 °C (60 min), a UV cure at 425 °C (3 min) was used. Plasma damage was imparted by sequentially subjecting them to the following processes:
Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40 °C (20sec) Ash: 400W/45mT/ lOOsccm 02, 40 °C (30 sec) A toughening agent treatment was performed using 27% DMDAS in 3-pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2. Copper single damascene patterned structures were created using the UV cured NANOGLASS as the dielectric material using conventional approach described in Example 2. Prior to metallization (PVD barrier and Cu seed deposition and Cu electroplating) some of the wafers were coated with toughening agent followed by a bake up to 350 °C. After metallization, all samples were annealed at 200 °C, 50 min. Focused ion beam scanning electron microscope was used to determine presence of voids.
The following results were noted: a. Blanket wafers
b. SLM patterned wafers
EXAMPLE 6
Plasma damage was induced into 6000A furnace cured NANOGLASS films, commercially available from Honeywell International Inc. of Sunnyvale, California, by sequentially subjecting them to the following processes: Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40 °C (20sec). Ash (one of the following processes)
02 ash: 400W/45mT/ lOOsccm 02, 40°C (20s or 70 s) or N2/H2 ash: 500W/45mT/ 500sccm N2 / 125sccm H2 10°C (45 s or 135 s) Toughening agent treatment was performed using 9% DMDAS in 2-heptanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2
The following results were noted:
EXAMPLE 7
Plasma damage was induced into 6000 A furnace cured NANOGLASS films by sequentially subjecting them to the following processes:
Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40°C
(20sec).
Ash (one of the following processes): To test the effect of queue time before toughening agent treatment, the samples were held in ambient conditions for times varying from 1 hr to 360 hr, after which the toughening agent treatments were performed.
Toughening agent treatment was performed using 4.5% DMDAS in 2-heptanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N2.
The following results were noted:
EXAMPLE 8
Plasma damage was induced into 6000 A furnace cured NANOGLASS films, commercially available from Honeywell International Inc. of Sunnyvale,
California, by sequentially subjecting them to the following processes:
Etch: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40°C
(20sec).
Ash (one of the following processes).
Toughening agent treatment was performed using 18% DMDAS in 2-heptanone.
After spin coating the toughening agent material, the films were baked for 1 min. at various temperatures on hot plates. Carbon restoration was measured as the amount of carbon added by toughening agent treatment as a percentage of the amount of carbon lost during plasma damage. The amount of carbon was measured by FTIR as the ratio of CH (2975 cm"1) peak to SiO peak (1055 cm"1).
The following results were noted:
While the present invention has been particularly shown and described with reference to preferred embodiments, it will be readily appreciated by those of ordinary skill in the art that various changes and modifications may be made without departing from the spirit and scope of the invention. It is intended that the claims be interpreted to cover the disclosed embodiment, those alternatives which have been discussed above and all equivalents thereto.

Claims

What is claimed is:
1. A method for deterring the formation of stress-induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been patterned to form vias and trenches therein, and which organosilicate glass dielectric film has been thereafter subjected to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film, and which vias and trenches have been thereafter filled with a metal, and which metal has then been thereafter subjected to an annealing treatment, the method comprising contacting the organosilicate glass dielectric film, after being subjected to at least one treatment which removes previously existing carbon containing moieties, but before said vias and trenches have been filled with a metal, with a toughening agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film.
2. The method of claim 1 wherein the treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film comprises at least one of an etchant treatment, an ashing treatment, a wet stripping treatment, a cleaning treatment and a PECVD treatment.
3. The method of claim 1 wherein the organosilicate glass dielectric film has been formed from a spin-on glass material or a chemical vapor deposited material.
4. The method of claim 1 wherein the toughening agent composition comprises a component for alkylating or arylating silanol moieties via silylation of the organosilicate glass dielectric film.
5. The method of claim 1 further comprising the subsequent step of removing unreacted toughening agent composition, reaction products and mixtures thereof.
6. The method of claim 1 further comprising the subsequent step of heating the increased hydrophobicity organosilicate glass dielectric film.
7. The method of claim 1 wherein the organosilicate glass dielectric film is nanoporous.
8. The method of claim 1 wherein the organosilicate glass dielectric film substantially non-porous.
9. The method of claim 1 wherein the toughening agent composition comprises at least one compound having a formula selected from the group consisting of : [-SiR2NR'-]n where n >2 and may be cyclic; R3SiNR'SiR3, (R3Si)3N; R3SiNR'2; R2Si(NR')2; RSi(NR')3; RxSiCly, RxSi(OH)y; R3SiOSiR'3;
RxSi(OR')y; RxSi(OCOR' )y; RxSiHy; RxSi[OC(R')=R"]4-x and combinations thereof,
wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4- , each R is an independently selected from hydrogen and a hydrophobic organic moiety;
R' is hydrogen, or an organic moiety, and R" is an alkyl or carbonyl group.
10. The method of claim 1 wherein the toughening agent composition comprises at least a compound selected from the group consisting of acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, , trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, 2-trimethylsiloxypent-2- ene-4-one, n-(trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n- (trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, bisdiethylaminodimethylsilane, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(dimethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate and combinations thereof.
11. The method of claim 1 wherein the toughening agent composition comprises dimethyldiacetoxysilane.
12. The method of claim 1 wherein the toughening agent composition comprises a solvent selected from the group consisting of ketones, ethers, esters, hydrocarbons, and combinations thereof.
13. The method of claim 1 wherein the toughening agent composition contacts the organosilicate glass dielectric film in a state selected from the group consisting of liquid, vapor, gas, and plasma.
14. A method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment.
15. The method of claim 14 wherein the treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film comprises at least one of an etchant treatment, an ashing treatment, a wet stripping treatment, a cleaning treatment and a PECVD treatment.
16. The method of claim 14 wherein the toughening agent composition comprises a component for alkylating or arylating silanol moieties via silylation of the organosilicate glass dielectric film.
17. The method of claim 14 further comprising the subsequent step of removing unreacted toughening agent composition, reaction products and mixtures thereof.
18. The method of claim 14 further comprising the subsequent step of heating the increased hydrophobicity organosilicate glass dielectric film.
19. The method of claim 14 wherein the organosilicate glass dielectric film is nanoporous.
20. The method of claim 14 wherein the organosilicate glass dielectric film substantially non-porous.
21. The method of claim 14 wherein the toughening agent composition comprises at least one compound having a formula selected from the group consisting of: [-SiR NR'-]n where n >2 and may be cyclic; R3SiNR'SiR , (R3Si)3N; R3SiNR'2; R2Si(NR')2; RSi(NR')3; RxSiCly, RxSi(OH)y; R3SiOSiR'3; RxSi(OR')y; RxSi(OCOR' )y; RxSiHy; RxSi[OC(R')=R"]4-x and combinations thereof,
wherein x is an integer ranging from 1 to 3, y is an integer ranging from 1 to 3 such that y=4-x , each R is an independently selected from hydrogen and a hydrophobic organic moiety;
R' is hydrogen, or an organic moiety, and R" is an a'kyl or carbonyl group.
22. The method of claim 14 wherein the toughening agent composition comprises at least a compound selected from the group consisting of, acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, , trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, 2-trimethylsiloxypent-2- ene-4-one, n-(trimethylsilyl)acetamide, 2-(trimethylsilyl) acetic acid, n-
(trimethylsilyl)imidazole, trimethylsilylpropiolate, trimethylsilyl(trimethylsiloxy)- acetate, nonamethyltrisilazane, hexamethyldisiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, t-butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxysilane, trichlorosilane, hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, bisdiethylaminodimethylsilane, tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, tris(dimethylamino)silane, dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyanate and combinations thereof.
23. The method of claim 14 wherein the toughening agent composition comprises dimethyldiacetoxysilane.
24. The method of claim 14 wherein the toughening agent composition comprises a solvent selected from the group consisting of ketones, ethers, esters, hydrocarbons, and combinations thereof.
25. The method of claim 14 wherein the toughening agent composition contacts the organosilicate glass dielectric film in a state selected from the group consisting of liquid, vapor, gas, and plasma.
26. The method of claim 14 wherein the etchant is a plasma comprising atoms, ions and/or radicals selected from the group consisting of oxygen, fluorine, hydrogen, nitrogen and combinations thereof.
27. The method of claim 14 wherein the etchant is a wet etchant that comprises at least one agent selected from the group consisting of: an amide, an alcohol, an alcoholamine, an amine, a triamine, an acid, a base and combinations thereof.
28. The method of claim 14 wherein the treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity comprises treatment with at least one agent selected from the group consisting of ethanolamine, ethylenediamine, triethylamine, N,N- diethylethylenediamine, diethylenetriamine, amine. ethylenediaminetetracetic acid; organic, acetic acid, formic acid, tetramethylammonium acetate, sulfuric acid, phosphoric acid, hydrofluoric acid; ammonium fluoride, ammonium hydroxide, tetramethyl ammonium hydroxide, hydroxyl amine and combinations thereof, provided that the combinations are of agents that do not neutralize one another.
29. A microelectronic device produced by a process comprising: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment.
EP04817126A 2003-10-08 2004-09-24 Repairing damage to low-k dielectric materials using silylating agents Withdrawn EP1676303A2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US10/940,682 US7709371B2 (en) 2003-01-25 2004-09-15 Repairing damage to low-k dielectric materials using silylating agents
PCT/US2004/031995 WO2005034194A2 (en) 2003-10-08 2004-09-24 Repairing damage to low-k dielectric materials using silylating agents

Publications (1)

Publication Number Publication Date
EP1676303A2 true EP1676303A2 (en) 2006-07-05

Family

ID=34555813

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04817126A Withdrawn EP1676303A2 (en) 2003-10-08 2004-09-24 Repairing damage to low-k dielectric materials using silylating agents

Country Status (3)

Country Link
EP (1) EP1676303A2 (en)
KR (1) KR101064336B1 (en)
TW (1) TWI358093B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109716506B (en) * 2017-03-27 2023-09-22 株式会社爱发科 Method for manufacturing electronic component

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
JP4521992B2 (en) * 1998-04-01 2010-08-11 旭化成株式会社 Manufacturing method of wiring structure
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2005034194A2 *

Also Published As

Publication number Publication date
TW200531183A (en) 2005-09-16
KR20070037562A (en) 2007-04-05
KR101064336B1 (en) 2011-09-16
TWI358093B (en) 2012-02-11

Similar Documents

Publication Publication Date Title
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) Method for making toughening agent materials
WO2005034194A2 (en) Repairing damage to low-k dielectric materials using silylating agents
US7678712B2 (en) Vapor phase treatment of dielectric materials
US7500397B2 (en) Activated chemical process for enhancing material properties of dielectric films
JP5307963B2 (en) Method for restoring hydrophobicity in dielectric films and materials
JP5161571B2 (en) Treatment material
KR101064336B1 (en) Repairing Damage To Low-K-Dielectric Materials Using Silylating Agents

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060428

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PL PT RO SE SI SK TR

RIN1 Information on inventor provided before grant (corrected)

Inventor name: RAMOS, TERESA, A.

Inventor name: LEUNG, ROGER, Y.

Inventor name: IWAMOTO, NANCY

Inventor name: BHANAP, ANIL, S.

Inventor name: NAMAN, ANANTH

DAX Request for extension of the european patent (deleted)
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20130403