TWI358093B - Repairing damage to low-k dielectric materials usi - Google Patents

Repairing damage to low-k dielectric materials usi Download PDF

Info

Publication number
TWI358093B
TWI358093B TW093129863A TW93129863A TWI358093B TW I358093 B TWI358093 B TW I358093B TW 093129863 A TW093129863 A TW 093129863A TW 93129863 A TW93129863 A TW 93129863A TW I358093 B TWI358093 B TW I358093B
Authority
TW
Taiwan
Prior art keywords
decane
organic
dielectric film
glass dielectric
dimethyl
Prior art date
Application number
TW093129863A
Other languages
Chinese (zh)
Other versions
TW200531183A (en
Inventor
Anil S Bhanap
Teresa A Ramos
Nancy Iwamoto
Roger Y Leung
Ananth Naman
Original Assignee
Honeywell Int Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,682 external-priority patent/US7709371B2/en
Application filed by Honeywell Int Inc filed Critical Honeywell Int Inc
Publication of TW200531183A publication Critical patent/TW200531183A/en
Application granted granted Critical
Publication of TWI358093B publication Critical patent/TWI358093B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1358093 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用於恢復有機石夕酸鹽玻璃介電薄膜表 面之疏水性的方法,其中該有機矽酸鹽玻璃介電薄膜以移 除至少一部分先前存在之含碳部分之方式經受蝕刻或灰化 處理’由此引起薄膜具有減小之疏水性。此等薄膜在諸如 積體電路("ic")之半導體裝置製造中作為絕緣材料使用以 保證此等薄膜中之低介電常數及穩定的介電特性。 【先前技術】 隨著積體電路中器件特徵(feature)尺寸之減小,互連Rc 延遲、功率消耗及訊號串擾問題已變得日益難以解決。咸 信用於層間介電(ILD)及金屬間介電(IMD)應用之低介電常 數材料之整合將有助於解決此等問題。儘管先前已作出將 低介電常數材料應用於積體電路的努力,但是在此項技術 中長久以來仍需要在處理方法及該等材料之介電及機械特 性方面進行進-步優化。未來積體電路中之裝置縮放比例 明顯要求使用低介電常數材料作為該互連結構的一部分。 用於亞1GG nm世代IC之低介電常數材料之大多數供選擇物 是由CVD或旋轉塗布法中之任一種方法形成之含碳叫薄 膜。在隨後的處理步驟(諸如使用電漿或濕式光阻剝離方法 之電漿蝕刻及光阻移除)過程中,此等低]^材料發生顯著受 損,進而導致鄰近㈣表面之低k材料中的I加成及自其產 生之碳損耗。除更高有效k值外,該生成之結構易於發生空 隙形成、除氣(0utgassing)及浮泡形成。該等空隙反過來可 96350.doc 1358093 導致高壓時洩漏電流的增加及崩潰電壓的減小。本發明描 述了一種藉由在受損發生後用甲矽烷基化試劑處理該等晶 圓從而降低該受損及所生成之問題的方法。1358093 IX. Description of the Invention: [Technical Field] The present invention relates to a method for recovering the hydrophobicity of a surface of an organic silicate glass dielectric film, wherein the organic silicate glass dielectric film is removed The manner in which at least a portion of the pre-existing carbon-containing portion is subjected to etching or ashing treatment 'causes the film to have reduced hydrophobicity. These films are used as insulating materials in the fabrication of semiconductor devices such as integrated circuits ("ic") to ensure low dielectric constant and stable dielectric properties in such films. [Prior Art] As the size of device features in integrated circuits is reduced, interconnect Rc delay, power consumption, and signal crosstalk problems have become increasingly difficult to solve. The integration of low dielectric constant materials for interlayer dielectric (ILD) and inter-metal dielectric (IMD) applications will help solve these problems. Although efforts have been previously made to apply low dielectric constant materials to integrated circuits, there has been a long felt need in the art for further optimization in terms of processing methods and dielectric and mechanical properties of such materials. Device scaling in future integrated circuits Clearly requires the use of low dielectric constant materials as part of the interconnect structure. Most of the alternatives for low dielectric constant materials for sub-1GG nm generation ICs are carbon-containing films formed by either CVD or spin coating methods. During subsequent processing steps, such as plasma etching and photoresist removal using plasma or wet photoresist stripping methods, these low-level materials are significantly damaged, resulting in low-k materials adjacent to the (four) surface. The I addition in and the carbon loss from it. In addition to the higher effective k value, the resulting structure is prone to void formation, outgassing, and bubble formation. These voids, in turn, can cause an increase in leakage current and a decrease in breakdown voltage at high voltages in 96350.doc 1358093. The present invention describes a method for reducing the damage and the resulting problems by treating the crystals with a formazanating reagent after the damage has occurred.

據報導:使用非致損灰化化學(諸如H2/He)可減少碳損耗 及相關問題。在此方面,參看I. Berry, A. Shiota, Q. Han, C· Waldfrted, M. Sekiguchi &O.Escorcia,Proceedings-Electrochemical Society,22, 202 (2002);及 A. Matsushita, N. Ohashi, K. Inukai, H. J. Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto 及 N· Kobayashi » Proceedings of IEEEIt has been reported that the use of non-destructive ashing chemistry (such as H2/He) can reduce carbon loss and related problems. In this regard, see I. Berry, A. Shiota, Q. Han, C. Waldfrted, M. Sekiguchi & O. Escorcia, Proceedings-Electrochemical Society, 22, 202 (2002); and A. Matsushita, N. Ohashi , K. Inukai, HJ Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto and N. Kobayashi » Proceedings of IEEE

International Interconnect Technology Conference, 2003, 147 (2003)。 或者,亦已展示:補充碳之灰化後處理可恢復疏水性並 降低該介電常數。亦展示:補充碳之灰化後處理可恢復疏 水性並降低介電常數。在此方面,參看Y. S. Mor,T. C. Chang, P. T. Liu, Τ. Μ. Tsai, C. W. Chen, S. T. Yan, C. J. Chu, W. F. Wu, F. M· Pan,W. Lur及 S. M. Sze,Journal of Vacuum Science & Technology, B,2 (4),1334 (2002);及 P. G. Clark, B. D. Schwab 及 JL W. Butterbaugh,Semiconductor International, 26 (9),46 (2003)。後一途徑之一優點為其允 許使用相當完善(well-established)之#刻及灰化方法。為此 目的,需要使用灰化後處理來修補導致多孔SiCOH基低k材 料之受損。該處理可引起碳得以補充至低k薄膜,藉此恢復 疏水性及在濕式清洗操作過程中對進一步受損的抵抗力。 此外,若發現該經修補之低k材料對空隙形成(該空隙形成 96350.doc 1358093 通常發生於在鋼退火處理過程中未經處理之多孔低1^層間 介電區域中)具有抵抗力,則該灰化後處理是合乎需要的。 f .石夕烧基化試劑("增拿刃劑")可甲基化以〇2基材料之表面。所 涵蓋之曝露包括氣相曝露(具有或無電漿)、旋轉塗層及超臨 界C〇2。通常,在CU鑲嵌處理中SiC0H基多孔低⑼料易於 發生ILD中之空隙形成。在增知劑處理後,所生成之結構顯 著地對空隙形成更具抵抗力。不受任一特定理論或機制之 約束,咸信電漿致損係藉由用Si_〇H鍵置換Si_CH3鍵而導致 介電質中之碳損耗。在受損多孔介電質中,現在以以_011鍵 覆蓋該孔表面。於張應力(tensile stress)(諸如Cu退火後)存 在下,相鄰Si-OH基團可縮合,由此導致局部緻密化。演化 (evolving)反應產物及歸因於所形成之新鏈的分子拉伸 (stretching)導致空隙發生在ILD空間中心附近。增韌劑藉由 用Si-O-Si-Rn鍵置換大多數以_〇11鍵(此舉避免了縮合反應) 而防止空隙的形成。由此空隙之形成不會發生。 介電渠溝及通道形成及蝕刻及灰化步驟後執行之韌化處 理修補低k材料之碳損耗及受損。藉此手段阻止了空隙,且 該4低k材料能承受住對填充渠溝及通道之金屬之退火處 理所導致的内應力。 拿刃化處理係藉由將晶圓表面曝露於液態或氣態形式之曱 石夕燒基化試劑一段足以完成與受損低k區域之反應的時間 來進行。視需要,可執行高溫烘焙移除殘留溶劑及過量增 款劑。在應用增韌劑後或在烘焙步驟後亦可立即視情況使 用市售之與低k介電相容之化學製品來執行濕式清洗操 96350.doc 1358093 以増加增韌 作。此外,在增韌劑處理之前可執行脫水供培 劑處理的有效性。 可使用未圖案化低k介電薄膜來經受蝕刻及灰化處理,而 後進行增韌劑處理,藉此來驗證該增韌劑處理之有效性 成功之增韌劑處理引起碳濃度之增加,該碳濃度可藉由 FTIR、EDX或XPS技術來量測。另外可看到水接觸角之增 加,其證明了該處理後之表面之疏水性質。經增韌劑處= 之薄膜與未經增韌劑處理之蝕刻/灰化薄臈相比較亦展示 一提取自C-V量測值之低介電常數。在圖案化晶圓中,電鍍 銅後藉由在銅退火處理後減少或消除c u渠溝間之狹窄空間 中之低k介電質中之空隙來證明該增韌劑處理之有效性且 亦可藉由將其曝露於反應性溶劑後渠溝或通道中之較低之 概況改變來證明其有效性。 【發明内容】 本發明提供一種用於阻止一基板上之有機矽酸鹽玻璃介 電薄膜中之應力引發空隙形成之方法,該有機矽酸鹽玻璃 介電薄膜已經圖案化以於其中形成通道及渠溝,且該有機 矽酸鹽玻璃介電薄膜隨後經受至少一種目的在於移除至少 一部分先前存在之含碳部分並減小該有機矽酸鹽玻璃介電 >專膜之疏水性的處理,且隨後用一種金屬填充該等通道及 渠溝,且接著該金屬隨後經受退火處理,該方法包含在經 文至少一種蝕刻劑或灰化試劑之後,但在用一種金屬填充 s亥等通道及渠溝之前’將該有機矽酸鹽玻璃介電薄膜與一 疋派度之増勤劑組合物接觸且該接觸所歷經之一段時間應 96350.doc 1358093 能有效地將至少一些含碳部分恢復至有機矽酸鹽玻 薄膜並增加該有機矽酸鹽玻璃介電薄膜之疏水性。 % 本發明亦提供一種用於形成微電子奘 衣κ方法,其包含: a) 將一有機矽酸鹽玻璃介電薄膜塗布於—基板上. b) 在該有機矽酸鹽玻璃介電薄膜中形成通道及渠溝之圖 案,且使該有機矽酸鹽玻璃介電薄膜經受至少一種目的在 於移除至少-部分先前存在之含碳部分並減小該有機石夕酸 鹽玻璃介電薄膜之疏水性的處理;International Interconnect Technology Conference, 2003, 147 (2003). Alternatively, it has been shown that post-ashing ashing treatment can restore hydrophobicity and reduce the dielectric constant. It is also shown that post-ash ashing treatment can restore water repellency and reduce the dielectric constant. In this regard, see YS Mor, TC Chang, PT Liu, Τ. sai. Tsai, CW Chen, ST Yan, CJ Chu, WF Wu, F. M. Pan, W. Lur and SM Sze, Journal of Vacuum Science & Technology, B, 2 (4), 1334 (2002); and PG Clark, BD Schwab and JL W. Butterbaugh, Semiconductor International, 26 (9), 46 (2003). One of the advantages of the latter approach is that it allows the use of well-established #刻 and ashing methods. For this purpose, post-ashing treatment is required to repair the damage resulting in the porous SiCOH-based low-k material. This treatment can cause carbon to be replenished to the low-k film, thereby restoring hydrophobicity and resistance to further damage during wet cleaning operations. In addition, if the repaired low-k material is found to be resistant to void formation (this void formation 96350.doc 1358093 typically occurs in an untreated porous low dielectric interlayer region during steel annealing), then This post-ashing treatment is desirable. f. The stone smelting agent ("increasing edge agent") can be methylated to the surface of the 〇2-based material. Exposures covered include gas phase exposure (with or without plasma), spin coating and supercritical C〇2. Generally, the SiC0H-based porous low (9) material is prone to void formation in the ILD in the CU damascene process. After the treatment with the enhancer, the resulting structure is significantly more resistant to void formation. Without being bound by any particular theory or mechanism, the Xianxin plasma damage causes carbon loss in the dielectric by replacing the Si_CH3 bond with a Si_〇H bond. In damaged porous dielectrics, the surface of the hole is now covered with a _011 bond. In the presence of tensile stress (such as after Cu annealing), adjacent Si-OH groups can condense, thereby causing local densification. The evolution of the reaction product and the molecular stretching due to the new chain formed results in voids occurring near the center of the ILD space. The toughening agent prevents the formation of voids by replacing most of the _〇11 bond with a Si-O-Si-Rn bond (which avoids the condensation reaction). Thus the formation of voids does not occur. The toughening treatment performed after the dielectric trench and channel formation and etching and ashing steps repairs the carbon loss and damage of the low-k material. This means that the void is prevented and the 4 low-k material can withstand the internal stress caused by the annealing treatment of the metal filling the trench and the channel. The edged treatment is carried out by exposing the surface of the wafer to a liquid or gaseous form of the ruthenium reagent for a period of time sufficient to complete the reaction with the damaged low-k region. High temperature baking can be performed to remove residual solvent and excess extender, as needed. Immediately after the application of the toughening agent or after the baking step, a commercially available low-k dielectric compatible chemical can be used to perform a wet cleaning operation, 96350.doc 1358093. In addition, the effectiveness of the dewatering treatment can be performed prior to the toughening agent treatment. An unpatterned low-k dielectric film can be used to undergo etching and ashing treatment, followed by a toughening agent treatment to verify the effectiveness of the toughening agent treatment. The toughening agent treatment results in an increase in carbon concentration. The carbon concentration can be measured by FTIR, EDX or XPS techniques. In addition, an increase in the water contact angle can be seen, which demonstrates the hydrophobic nature of the treated surface. The film with the toughening agent = also exhibits a low dielectric constant extracted from the C-V measurement compared to the etched/ashed thin film treated without the toughening agent. In patterned wafers, copper plating is used to demonstrate the effectiveness of the toughener treatment by reducing or eliminating voids in the low-k dielectric in the narrow space between the cu channels after copper annealing. The effectiveness is demonstrated by exposing it to a lower profile change in the channel or channel after exposure to the reactive solvent. SUMMARY OF THE INVENTION The present invention provides a method for preventing stress induced void formation in an organic tellurite glass dielectric film on a substrate that has been patterned to form channels therein and a trench, and the organic tellurite glass dielectric film is subsequently subjected to at least one treatment for removing at least a portion of the pre-existing carbon-containing portion and reducing the hydrophobicity of the organic tellurite glass dielectric > And then filling the channels and trenches with a metal, and then the metal is subsequently subjected to an annealing process, which is followed by at least one etchant or ashing agent in the scripture, but filling the channels and channels with a metal Prior to the ditch, the organic tellurite glass dielectric film is contacted with a one-degree composition of the attendant composition and the contact is subjected to a period of time 96350.doc 1358093 effective to restore at least some of the carbonaceous portion to the organic germanium The acid salt glass film increases the hydrophobicity of the organic tellurite glass dielectric film. % The present invention also provides a method for forming a microelectronic coating, comprising: a) applying an organic tellurite glass dielectric film to a substrate. b) in the organic tellurite glass dielectric film Forming a pattern of channels and trenches, and subjecting the organosilicate glass dielectric film to at least one purpose of removing at least a portion of a pre-existing carbon-containing portion and reducing hydrophobicity of the organosilicate glass dielectric film Sexual treatment;

Ο將該有機矽酸鹽玻璃介電薄膜與一定濃度之增動劑組 合物接觸且該接觸所歷經之-段時間應能有效增加該有機 矽酸鹽玻璃介電薄膜之疏水性; d) 用一種金屬填充該等通道及渠溝;及 e) 使該金屬經受退火處理。 本發明提供一種由包含以下步驟之方法產製之微電子裝 置: ~ a) 將一有機矽酸鹽玻璃介電薄膜塗布於一基板上; b) 在該有機矽酸鹽玻璃介電薄膜中形成通道及渠溝之圖 案,且使該有機矽酸鹽玻璃介電薄膜經受至少一種目的在 於移除至少—部分Μ存在之含碳部分並減小該有機石夕酸 鹽玻璃介電薄膜之疏水性的處理; c) 將該冑機石夕酸鹽玻璃介電薄膜與一冑濃度之增物劑組 合物接觸且該接觸所歷經之-段時間應能有效增加該有機 石夕酸鹽破璃介電薄膜之疏水性; d) 用一種金屬填充該等通道及渠溝;及 96350.doc *10- 1358093 e)使該金屬經受退火處理。 【實施方式】 在本發明之情形中,因為且古、a a v 為八有通*低於3的低介電常數之 介電材料通常允許更快之訊幹值播 Κ就得播、降低電容效應及導線 間之串擾、並降低驅動積體電路之電壓,所以其係尤其合 乎需要的。-種具有低介電常數之材料是可作為發泡介電 材料來應用之二氧化石夕。為了儘可能獲得最低之介電值, 將空氣引入二氧化石夕介電材料中。空氣具有介電常數i,且 當將空氣引入奈米多孔或奈米級孔結構形式之二氧化石夕介 電材料中時便達成了相對低的介電常數("〜應瞭解·當 採用術語”二氧化石夕"時,除非特別提及官能基"si〇2",否則 如本文所使用之術語”二氧化石夕"(舉例而言,涉及多孔或非 ^孔"電薄膜)係意欲指代藉由本發明之方法自一有機或 無機玻璃基礎#料(例如,任—含有-或多種絲介電前驅 體之:適起始材料)而製備之介電薄膜。亦應瞭解:本文中 使用單數術s吾並非意欲受此限制,而在適當之情況下亦包 涵複數’例如’本發明之例示性方法可描述為塗布於並產 製一’’薄膜”,但吾人意欲可藉由所描述、例示及所申請專 利之方法备而要產製多個薄膜。如本文中所使用之涉及二 氧化矽介電材料之術語”薄膜"意欲包涵該等二氧化矽介電 材料視情況經採用之任一合適形式或形狀。因為奈米多孔 一氧化矽採用類似之前驅體,該等前驅體包括如四甲氧基 石夕炫CTMOS”)及/或四乙氧基石夕炫(”TE〇s")之有機經取代 夕炫(其係用於當前採用之旋塗式玻璃(”s〇G,,)及化學氣 96350.doc 1358093 相沉積("CVD")二氧化矽si〇2),因此奈米多孔二氧化矽頗 具吸引力。如本文中所使用之術語"空隙"及"孔"意謂其中物 質由氣體所置換或其中產生一真空之自由容積。該氣體之 組成通常並非關鍵性的,且適當之氣體包括相對純的氣體 及其混合物(包括空氣)。該奈米多孔聚合物可包含複數個 孔。孔通常為球形,但可替代性地或另外具有任一合適形 狀’包括管狀、層狀、盤狀或其他形狀。該等孔可均一地 或隨機地分散在該多孔聚合物内。亦涵蓋該等孔可具有任 一適當直徑。進一步涵蓋至少一些孔可與相鄰孔連接以建 置一具有顯著量之經連接或"開放"孔隙度之結構。 奈米多孔二氧化矽薄膜先前已藉由許多方法來製造。適 當石夕基前驅體組合物及用於形成奈米多孔二氧化石夕介電薄 膜之方法係(例如)藉由如下共同擁有之美國專利案第 6,048,804號、第 6,022,812號、第 6,410,149號、第 6,372,666 號、第 6,509,259號、第 6,218,497號、第 6,143,855號、第 6,037,275號、第 6,042,994號、第 6,048,804號、第 6,090,448 號、第 6,126,733 號、第 6,140,254號、第 6,204,202號、第 6,208,041號、第6,318,124號及第6,319,855號來描述,該等 文獻均以引用方式倂入本文中。 其他介電質及低介電材料包含基於無機物之化合物,諸 如共同讓渡申請中之2002年2月19曰申請之美國專利申請 案第10/078919號中揭示之矽基化合物;(舉例而言,可自 Honeywell International Inc.購得之 NANOGLASS® 及 HOSP®產品)。可藉由將該材料旋轉塗布於表面上、將該材 96350.doc -12- 1358093 料浸潰塗布、噴霧塗布、化學氣相沉積(CVD)、輕塗於表面-上、將該材料滴布於表面上及/或將材料塗敷於表面上來塗 布忒等介電質及低介電材料。適用於本發明之介電質包括 ㈣沉積材料,諸如摻碳氧化物,例如可自A_ed Matenais,Inc.購得之Black Di_nd、可自 Ν〇ν_δ蹲得之接触 contacting the organic tellurite glass dielectric film with a certain concentration of the activator composition and the contact for a period of time should be effective to increase the hydrophobicity of the organic tellurite glass dielectric film; d) a metal filling the channels and trenches; and e) subjecting the metal to annealing. The present invention provides a microelectronic device produced by a method comprising the steps of: ~ a) coating an organic tellurite glass dielectric film on a substrate; b) forming in the organic tellurite glass dielectric film a pattern of channels and channels, and subjecting the organosilicate glass dielectric film to at least one purpose of removing at least a portion of the carbonaceous portion present and reducing the hydrophobicity of the organosilicate glass dielectric film Processing; c) contacting the lithium silicate glass dielectric film with a concentration of the extender composition and the contact may be effective for increasing the organic stone The hydrophobicity of the electrical film; d) filling the channels and trenches with a metal; and 96350.doc *10-1358093 e) subjecting the metal to annealing. [Embodiment] In the case of the present invention, since the dielectric material of the low dielectric constant of av, which has a low frequency of less than 3, generally allows a faster signal-drying value to be broadcasted, the capacitance effect is reduced. It is especially desirable to have crosstalk between the wires and to reduce the voltage of the drive integrated circuit. A material having a low dielectric constant is a dioxide dioxide which can be applied as a foamed dielectric material. In order to obtain the lowest dielectric value possible, air is introduced into the dioxide dioxide dielectric material. Air has a dielectric constant i, and a relatively low dielectric constant is achieved when introducing air into a nanoporous or nanoporous pore structure of a nano-porous dielectric material ("~ should be understood The term "steel dioxide", unless specifically mentioned as a functional group "si〇2", as used herein, the term "earic dioxide eve" (for example, relating to porous or non-porous " Electrofilm) is intended to mean a dielectric film prepared by the method of the present invention from an organic or inorganic glass base material (e.g., any-containing or a plurality of silk dielectric precursors: suitable starting materials). It should be understood that the singular use of the singular is not intended to be limited thereto, and, where appropriate, includes plural 'for example, the exemplary method of the invention can be described as being applied to and producing a 'film', but It is intended that a plurality of films can be produced by the methods described, illustrated and claimed. The term "film" as used herein with respect to ceria dielectric materials is intended to encompass such ceria. Electrical material The situation may be in any suitable form or shape. Because the nanoporous cerium oxide is similar to the precursors, such precursors include, for example, tetramethoxy sylvestre CTMOS") and/or tetraethoxy shi shou (" The organic matter of TE〇s") replaces Xi Xuan (which is used in the currently used spin-on glass ("s〇G,,) and chemical gas 96350.doc 1358093 phase deposition ("CVD") cerium oxide si 〇 2), therefore nanoporous ceria is attractive. As used herein, the terms "void" and "pore" mean the free volume in which a substance is displaced by a gas or a vacuum is created therein. The composition of the gas is generally not critical, and suitable gases include relatively pure gases and mixtures thereof (including air). The nanoporous polymer may comprise a plurality of pores. The pores are generally spherical, but alternatively or Further having any suitable shape 'comprising a tubular, layered, disc or other shape. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any suitable diameter. Further At least some of the holes may be joined to adjacent holes to construct a structure having a significant amount of connected or "open" porosity. The nanoporous ceria film has previously been fabricated by a number of methods. The base precursor composition and the method for forming the nanoporous silica dioxide dielectric film are, for example, the following U.S. Patent Nos. 6,048,804, 6,022,812, 6,410,149, 6,372,666. No. 6,509,259, 6,218,497, 6,143,855, 6,037,275, 6,042,994, 6,048,804, 6,090,448, 6,126,733, 6,140,254, 6,204,202, 6,208,041, No. 6,318,124 and 6,319,855, each of which is incorporated herein by reference. Other dielectric-based and low-dielectric materials include inorganic-based compounds, such as the sulfhydryl compounds disclosed in U.S. Patent Application Serial No. 10/078,919, filed on Feb. , NANOGLASS® and HOSP® products available from Honeywell International Inc.). The material can be applied by spin coating on the surface, the material 96350.doc -12-1358093 is dipped, spray coated, chemical vapor deposited (CVD), lightly applied to the surface, and the material is dropped. The dielectric and low dielectric material are coated on the surface and/or by applying a material to the surface. Dielectrics suitable for use in the present invention include (iv) deposition materials such as carbon doped oxides, such as Black Di_nd available from A_ed Matenais, Inc., available from Ν〇ν_δ

Cora卜可自ASM購得之Aur〇ra及可自Trik〇n講得之仏i〇n。 如本文中所使用之短語"旋轉塗布材料"、,,旋轉塗布有機 材料”、"旋轉塗布組合物"及"旋轉塗布無機組合物"可互換 使用,且其指代彼等可使用旋轉塗布之塗布方法旋轉塗布鲁 於一基板或表面之溶液及組合物。矽基化合物之實例包含 矽氧烷化合物,諸如甲基矽氧烷、甲基倍半矽氧烷、苯基 石夕乳烧、苯基倍半石夕氧烧、曱基笨基石夕氧烧、甲基笨基倍 半石夕氧炫、石夕氮统聚合物、石夕酸鹽聚合物及其混合物。涵 蓋之矽氮烷聚合物為全氫化矽氮烷,其具有一可連接發色 團之”透明”聚合物主鏈。旋塗式玻璃材料亦包括矽氧烷聚 合物及嵌斷聚合物、通式(Ui〇…Λ之氫矽氧烷聚合 物及具有式(HSi〇15)X之氫倍半矽氧烷聚合物,其中x大於約 4。亦包括氫倍半矽氧烷與烷氧基氫化矽氧烷 (alk〇xyhydrid〇Siloxane)或羥基氫化矽氧烷之共聚物。旋塗 式玻璃材料另外包括通式(Hqi QSi〇i 5 2。)爲」。叫5 2心 之有機氫化矽氧烷聚合物及通式(HSi〇i 5以Rsi〇l 5^之有 機氫化倍半石夕氧院聚合物,其中爪大於零且讀讯之總和大 於4 ’且R為院基或芳基。一些適用之有機氫化石夕氧院聚合 物具有自約4至約5〇00的11與111之總和,其中尺係(:广c2q烷基 96350.doc -13- 1358093 或G-c,2芳基。該等有機氫化矽氧烷及有機氫化倍半矽氧烷 聚&物係替代性指出之旋塗式聚合物。一些特定實例包括 炫基氫化石夕氡院,諸如:甲基氫化石夕氧烧、乙基氯化石夕氧 烧、丙基氫化石夕氧焼、第三丁基氫化石夕氧烧、苯基氫化石夕 氧烧,及院基氫化倍半石夕氧烧,諸如:甲基氫化倍半石夕氧 烷、乙基氫化倍半矽氧烷、丙基氫化倍半矽氧烷、第三丁 基氫化倍半矽氧烷、苯基氫化倍半矽氧烷,及其組合。在 以下所頒准之專利案及申請中之申請案中描述了若干所涵 蓋之旋轉塗布材料:美國專利案第6,506,497號、第6,365,765 號、第 6,268,457號、第 6,177,199號、帛 6,358,559號、第 6,218,020號、第 6,361,82〇號、第 6 218 497號、第 6,359,_ 號、第6,143,855號、第6,512,071號、2001年11月10曰申請 之美國專利申請案第10/001143號、2〇〇〇年6月8曰申請之 PCT/US00/15772 ' 及 1999 年 1 月 7 日申請之 PCT/us〇〇/ 00523 ’其全文以引用之方式倂入本文中。 可將有機氫化矽氧烷及有機矽氧烷樹脂溶液用於形成籠 狀矽氧烷聚合物薄膜,其適用於製造各種電子裝置、微電 子裝置、尤其半導體積體電路及用於電子及半導體組件之 各種疊層材料,其包括硬質罩幕層、介電層、蝕刻止擋層 及包埋蝕刻止擋層。此等有機氫化矽氧烷樹脂層與其他可 用於®層材料及裝置之材料係相容的,諸如基於金剛烧之 化合物、基於雙金剛烷之化合物、矽核化合物、有機介電 貝及奈米多孔介電質。在以下專利案中揭示了本文中所涵 蓋之與有機氫化矽氧烷樹脂層相當兼容之化合物:美國專 96350.doc •14· 1358093 利案第 6,214,746號、第 6,171,687號、第 6,172,128 號、第 6,156,812號,2002年1月15曰申請之美國申請案第 60/350187號、美國專利申請案第09/538276號、美國專利申 請案第09/544504號、美國專利申請案第09/587851號,及 2002年1月8日申請之U.S. 60/347195 ’ 2001年10月17日申請 之?匸!'申請案?(^1711801/32569、2001年12月31曰申請之?(^丁 申請案PCT/US01/50812,該等專利皆以引用之方式倂入本 文中。 本文中所使用之合適有機氫化矽氧烷樹脂具有以下通 式: [H-SUJR-SiOuk 式⑴ [Ho.s-SiKs.KgJnCRo.s-i.o-SiOj 5.! 8]m 式(2) [H〇_i 〇-Sii.5]n[R--Si〇i.5]m 式(3) [Η-Si! 5]x[R-Si〇i.5]y[Si〇2]z 式(4) 其中: :η與m之和或者X、y與z之和為約8至約5000,且選擇m*y 以使含碳組份以小於約40%(低碳有機含量=L〇sp)之量存 在或以大於約40%(高碳有機含量=H0SP)之量存在;R係選 自經取代及未經取代、正鏈及支鏈烷基(甲基、乙基、丁基、 丙基、戊基)、烯基(乙烯基、烯丙基、異丙烯基)、環烷基、 環烯基、芳基(苯基、苯曱基、萘基、蒽基及菲基)及其混合 物,且其中含权取代基之特定莫耳百分比係起始材料量之 比率之函數。在一些LOSP實施例中,藉由莫耳百分比係介 於約丨5莫耳百分比至約25莫耳百分比之範圍内之含碳取代 96350.doc 1358093 基得到尤其有利之結果。在一些H0SP實施例中,藉由莫耳 百分比係介於約55莫耳百分比至約75莫耳百分比之範圍内 之含碳取代基得到有利之結果。 介電常數介於約1.5至約4之範圍内之奈米多孔二氧化矽 介電薄膜亦可作為各層中之一層使用。敷設〇ayd〇wn)奈米 多孔一氧化矽薄膜作為矽基前驅體,在水之存在下將其陳 化或縮合,並充分加熱以完全移除所有成孔分子並在薄膜 中形成空隙。該矽基前驅體組合物包含具有式Rx_Si_Ly之單 體或預聚合物,其中R係獨立選自烷基、芳基、 L係-個電負性部分,諸如烧氧基、錄、胺基、酿胺:、 鹵化物、異氰酸根及其組合,χ係介於〇至約2範圍内之整 數,且y係介於約0至約4範圍内之整數。可在以下專利案中 發現其他奈米多孔化合物及方法:美國專利案第GW” 號、第 6,172’128號、第 6,214,746號、第 6,313,185 號、第 6’380’347號及第6,380’270號’其均以引用之方式併入本文 中。 短語"籠狀結構"、”籠狀分子"及"籠狀化合物"意欲可互換 使用’且指代具有至少10個原子之分子,#中該等原子係 排列以使至少-個原子橋共價連接環系統之兩或兩個以上 原子。換言之,籠狀結構、籠狀分子或籠狀化合物包含複 數個由共價結合之原子形成之環,其中,該結構、分子或 化合物界定-容積,以使得以該體積來定位之點在不穿越 該環之情況下不會脫離該容積。該原子橋及/或該環系統可 包含—或多個雜原子’且其可為芳族的、部分飽和的或不 96350.doc -16- 1358093 ,的it #涵蓋之蘢狀結構包括球殼狀碳分子及具有 至少-個原子橋之冠_。舉例而言,將金剛炫或二金剛炫 視為-籠狀結構’而因為蔡化合物或芳族螺化合物不具有 一或多個原子橋,所以在此定義之料下,並不將蔡化合 物或芳族螺化合物視為蘢狀結構。 所涵蓋之籠狀化合物並不1限於僅包含碟原子,而亦 可包括諸如N、S、〇、p等之雜原子。雜原子可有利地引入 非四邊形鍵角組態。關於所涵蓋之籠狀化合物之取代基及 衍生作用,應承認許多取代基及料作用係適當的。舉例 而言’當該籠狀化合物係相對疏水性時,可引人親水性取 代基以增加溶於親水性溶劑中之溶解性,或反之亦然。或 者,若需要極性,則可將極性側鏈基團加至該籠狀化合物。 進一步涵蓋適當取代基亦可包括熱不穩定性基團、親核性 及親電子基團。亦應瞭解,可在該籠狀化合物中利用官能 基(例如’以促進交聯反應、衍生反應等)。 如本文中所詳細描述之籠狀分子或化合物亦可為連接至 聚合物主鏈之基團,且因此能形成如下奈米多孔材料:其 中籠狀化合物形成一類空隙(分子内部的之),且其中至少一 部分主鏈與其本身或另一主鏈交聯以能形成另一類型之空 隙(分子間的)。在2001年1〇月18曰申請之PCT/us〇1/32569 中詳細描述了另外的籠狀分子、籠狀化合物及此等分子及 化合物之變體’該文獻之全文係以引用之方式倂入本文 中。所涵盍之聚合物亦可包含廣泛範圍之官能或結構部 分,其包括芳族系統及函化基團。此外,適當之聚合物可 96350.doc -17- 1358093 具有許多組態,包括均聚物及雜聚物。而且,替代聚合物 可具有各種形式’諸如直鏈、支鏈、超支鏈或三維。所涵 蓋之聚合物之分子量橫跨一廣泛範圍:通常介於400道爾頓 與400000道爾頓或更多之間。如聚合物技術中所習知,亦 可使用添加劑來增強或賦予特定特性,包括穩定劑、阻燃 劑、顏料、增塑劑、界面活性劑、及其類似物。可摻合相 容或不相容聚合物於其中來得到所需特性。亦可使用黏著 力促進劑。六甲基二石夕氮烧代表該等促進劑,其可用來與 曝露於潮氣或濕度之表面(諸如二氧化矽)上可出現之可利 用之羥基官能基結合。用於微電子應用、特定言之用於介 電層間之聚合物須要含有低離子雜質含量(通常小於】 ppm,較佳小於1〇 ppb)。 只要所得溶液可塗布於基板、表面、晶圓或疊層材料, 本文中所描述之該等材料、前驅體及層可且以許多方式設 計為以任一合適溶劑將其溶劑化或溶解。典型溶劑亦為彼 等能將該等單體、同分異構單體混合物及聚合物溶劑化之 /合劑。所涵蓋之溶劑包括任一合適之純有機或無機分子或 其混5物該等为子在諸如臨界溫度之所需溫度下揮發且 其促進上述任一設計目標或需要之實現。該溶劑亦可包含 任一合適之單極性及非極性化合物或其混合物。如本文中 所使用術5吾極性意§胃一分子或化合物之在該分子或化 5物之點或沿著該分子或化合物而建置不均等電荷、部 分電1或自發電荷分佈之特徵。如本文中所使用,術語"非 極性"意謂一分子或化合物之在該分子或化合物之一點或 96350.doc 2該分子或化合物而建置不均等電荷、部分電荷或自發 人仃刀佈之特徵。在一些所涵蓋實施例中,溶劑或溶劑混 5物(包含至少兩種溶劑)包含彼等視為烴族溶劑之-部分 之溶劑。烴溶劑係彼等包含碳及氫之溶劑。應瞭解:大多 數蛵溶劑係非極性;然而,少數烴溶劑可視為極性的。烴 溶劑通常細分為三類:脂族、環狀及芳族。脂族烴溶劑可 包含直鏈化合物及支鏈且可能為交聯化合物兩者,然而, 脂族烴溶射視為環狀的。環狀烴溶劑係彼等具有類似於 脂族煙溶劑之特性的包含至少三㈣向在—環結構内之碳 原子之溶劑。芳族烴溶劑係彼等通常包含三個或三個以上 不飽和鍵之溶劑,芳族烴溶劑具有單環或為共用鍵所連接 之多環及/或融合在一起之多環。所涵蓋之烴溶劑包括曱 苯、二甲苯、對-二甲苯、間-二甲苯、1}3,5_三甲基苯、石 月6)油H/谷劑、石腦油A溶劑、烷,諸如戊烷、己烷、異己烷、 庚烷、 壬烷、辛烷,十二烷、2-甲基丁烷、十六烷、十三 烷、十五烷、環戊烷、2,2,4_三甲基戊烷、石油醚、鹵化烴, 諸如氣化煙、硝化烴、笨、丨,2_二甲基苯、H4三甲基苯、 礦油精、煤油、異丁基苯、甲基萘、乙基曱苯、輕石油 (ligroine)。特別涵蓋之溶劑包括但不限於戊烷、己烷、庚 烧、壞己烧、苯、甲苯、二甲苯及其混合物或組合。 在其他所涵蓋之實施例中,該溶劑或溶劑混合物可包含 彼等不視為烴溶劑族之化合物之一部分之溶劑,諸如:酮, 如丙嗣、3-戊酮、二乙基酮、曱基乙基酮及其類似物,醇、 嗣、醋、醚及胺。在其他所涵蓋之實施例中,該溶劑或溶 96350.doc -19· 1358093 劑混合物可包含本文所提及中之任意溶劑之組合。 =實:,,該溶劑包含水、乙醇、丙醇、丙_、 本、甲苯、㈣己W甲一 :步涵蓋:替代之低介電常數材料亦可包含另外組 伤。舉例而言,當低介電常數材料曝露於機械應力時,可 加入軟化劑或其他保護試劑。在將介電材料置於—光滑表 面之其他情況下,可有利採用黏著力促進劑。又在其他情 況下,可能須加入清潔劑或消泡劑。一般地,將(例如)包括 一或多種可移除溶劑之旋塗式玻璃組合物形式之前驅體塗 布於-基板,且接著將其聚合並使其以形成一包含奈米級 孔之介電薄膜之方式經受溶劑移除。 义當形成該等奈米多孔薄料(例如其中藉由旋轉塗布將 刖驅體塗布於一基板)’在初步加熱步驟過程中該薄膜塗 層通常由酸或驗催化劑及水催化以導致聚合作用/凝勝化 (陳化”)。若需要’該薄膜接著經硬化(例如藉由將該薄膜 經受一或多個高溫加熱步驟),藉以(除了別的目的之外)移 除任殘留溶劑並完成該聚合作用過程。其他硬化方法包 括使該薄膜經受輻射能,例如紫外線、電子束、微波能及 其類似物。 共同擁有之美國專利案第6,204,202號及第6,413,882號係 以引用之方式倂入本文中,其提供矽基前驅體组合物及用 於藉由降解或蒸發該前驅體組合物中之一或多種聚合物或 寡聚物而形成奈米多孔二氡化矽介電薄膜的方法。共同擁 96350.doc -20· 1358093 有之美國專利案第6,495,479號提供石夕基前駆體組合物及用 於藉由降解或蒸發該前驅體組合物中之一或多種化合物或 *口物而形成奈米多孔二氧化矽介電薄膜的方法。美國專 利案第5,895,263號描述藉由塗布包含可分解聚合物及有機 聚氧化石夕(有機聚二氣化石夕,亦即包括經縮合或經聚合之 夕κ η物)之組合物,加熱該組合物以進一步縮合該聚二氧 2矽,並分解該可分解聚合物以形成多孔介電層,從而在 -基板(例如,晶圓)上形成奈米多孔二氧化矽介電薄膜。 ▲用於將前驅體塗布於-基板、陳化、硬化、平坦化並使 該(等)薄膜具有疏水性之方法係由(舉例而言)共同擁有之 美國專利案第Μ89,889號及第6,〇η,275號作出描述(別的 專利案亦對此有所涉及)。本文所涵蓋之基板及晶圓可包含 任一所需之大體上固體材料。特定所需基板層應包含薄 膜玻璃、陶竞、塑膠、金屬或經塗布之金屬、或複合材 料在較佳實施例中,該基板包含石夕或石申化錯晶粒或晶圓 表面、如在鐘銅、鐘銀、錄鎳或鍵金引線框令所發現之封 裝表面、如在電路板或封裝互連跡線、通道壁或硬化劑界 面中所發現之銅表面(所者舍" 号慮之銅包括裸鋼及其氧化 物广如在基於《亞胺之練封裝中所發現之基於聚合物 之封裝或板界面、船或其他金屬合金谭料球狀表面、玻璃 及諸如聚醯亞胺之聚合物。當視為黏著性(_ 時,甚至可將”基板,,定義Λ另 取a 我為另一聚合物鏈。在更佳實施例 中’該基板包含在封裝及電路板工業中常見之材料,諸如 矽、銅、玻璃及另一聚合物。 96350.doc 導由咖㈣術進行頂蓋㈣)薄膜沉積之半 k 及错由㈣及灰化手段圖案化而形成通道 傾向於自有機矽酸鹽玻璃介電薄膜移除含碳部分 ;為疏水性基@)並用料醇基團將其置換。當有機石夕酸鹽 璃介電薄膜含有錢醇基團時引起非所需之特性。石夕燒 醇及其可自空氣中吸收之水在—電場中係高度可極化的;0 且因此將提高該薄膜之介電常數,並將降低對濕式清洗化 學反應之抵抗力,並增加揮發性演化。而且,當用金屬填 充该等渠溝及通道並使其經受退火處理時,金屬收縮在該 等通道及渠溝壁上引發應力並導致在該等通道及渠溝之間 之介電材料内部形成非所需之空隙。 為彌補此問題’藉由增_處理來使得該有機料鹽玻 璃介電薄膜大體上*切㈣及水以恢復含碳部分並增加 該有機石夕酸鹽玻璃介電薄膜之疏水性。此使得該薄膜對通 道及渠溝壁上之應力(諸如在退火過程中由金屬收縮所引 發之應力)、來自其他介電層之應力及封裝過程中之應力具 有抵抗力,因此其阻止了在該等通道及渠溝之間之介電材 料内部形成非所需之空隙。 蝕刻及電漿移除疏水性官能基。半導體製造過程t有機 矽酸鹽玻璃介電薄膜之受損係由應用腐蝕性電漿及/或應 用蝕刻試劑以將渠溝及通道蝕刻至介電薄膜中所引起的。 在半導體裝置製造過程中亦使用電漿來移除光阻薄膜。所 使用之電聚通常由元素氧、氟、氫、碳、氬、氦或氮(以自 由原子、化合物、離子及/或基團之形式)組成。 96350.doc -22· 1J58093 將木溝:通道' 蝕刻及/或光阻移除過程中曝露於此等電 水之介電於降解或受損。多孔介電薄膜具有非常高 :表面面積,i因此特別容易受到電漿致損之損壞。特定 。之,具有有機含量(諸如鍵接至Si原子之甲基)之基於二氧 化石夕之介電薄膜易於經由氧電t而降解。將該有機基團氧 =為C〇2,且矽烷醇或Si-OH基團殘留在該有機基團先前所 分留之β亥介電表面上。多孔二氧化矽薄膜依賴該等有機基 團(在多孔表面上)以保留疏水性。疏水性之損耗使該介電常 數上升(該等薄膜之低介電常數係該等材料之關鍵所需特 性)。Cora Bu can be purchased from ASM Aur〇ra and can be heard from Trik〇n. The phrases "spin coating material",, spin-coated organic material, "spin coating composition" and "spin coating inorganic composition" as used herein are used interchangeably and refer to They may spin coat a solution and composition on a substrate or surface using a spin coating method. Examples of the mercapto compound include a decyl alkane compound such as methyl decane, methyl sesquiterpene oxide, benzene. Base stone yew calcination, phenyl sesquiterpene oxy-oxygenation, sulfhydryl-based stony-based oxygen burning, methyl stupid sesquiterpene oxide, Shishi nitrocarburic polymer, agglomerate polymer and mixtures thereof. The sulfonium alkane polymer encompassed is a perhydroabiazinane having a "transparent" polymer backbone to which a chromophore can be attached. The spin-on glass material also includes a siloxane polymer and a plug-in polymer. a hydroquinone polymer of the formula (Ui〇...Λ) and a hydrogen sesquioxane polymer of the formula (HSi〇15) X, wherein x is greater than about 4. Also includes hydrogen sesquioxanes and alkoxy groups. A total of alk〇xyhydrid〇Siloxane or hydroxyhydrogenated oxane The spin-on glass material additionally includes a compound of the formula (Hqi QSi〇i 5 2). It is a polymer of 5 2 core hydrogenated hydride and a formula (HSi〇i 5 is Rsi〇l 5^ An organohydrogenated sesquiterpene polymer wherein the paws are greater than zero and the sum of the readings is greater than 4' and R is a hospital or aryl group. Some suitable organic hydrated oxygen polymers have from about 4 to about 5总00 of the sum of 11 and 111, wherein the pedigree (: broad c2q alkyl 96350.doc -13 - 1358093 or Gc, 2 aryl. These organic hydrogenated oxazane and organic hydrogen sesquioxanes poly & Some of the specific examples include spin-on polymers, some of which include thiophosphazil, such as: methyl hydride, ethyl chlorite, propyl hydrogen hydride, Tertiary butyl hydrogen hydride, phenyl hydrogen hydride, and hospital-based hydrogenated sesquiterpene, such as: methyl hydrogenated sesquioxanes, ethyl hydrogen sesquioxanes, Propyl hydrogenated sesquioxane, tert-butyl hydroperoxysesquioxane, phenyl hydroperoxysesquioxane, and combinations thereof, as specified below A number of covered spin coating materials are described in the patent application and the application in the application: U.S. Patent Nos. 6,506,497, 6,365,765, 6,268,457, 6,177,199, 6,358,559, 6,218,020, U.S. Patent Application Serial No. 10/001,143, filed on Nov. 6, 218, No. PCT/US00/15772 ' applied for on June 8 of the following year and PCT/us〇〇/00523 filed on January 7, 1999, the entire contents of which are incorporated herein by reference. The organohydrogen hydride and organic oxirane resin solutions can be used to form a caged siloxane polymer film suitable for the manufacture of various electronic devices, microelectronic devices, especially semiconductor integrated circuits, and for electronic and semiconductor components. Various laminate materials including a hard mask layer, a dielectric layer, an etch stop layer, and an embedding etch stop layer. These organohydrogenated hafnoxy resin layers are compatible with other materials that can be used in the ® layer materials and devices, such as diamond-based compounds, bis-damantane-based compounds, ruthenium nucleus compounds, organic dielectric shells, and nanoparticles. Porous dielectric. Compounds that are quite compatible with the organohydrogen hydride oxyalkylene resin layer disclosed herein are disclosed in the following patents: U.S. Patent No. 96350.doc • 14·1358093, No. 6,214,746, No. 6,171,687, No. 6, , 172,128, 6,156,812, US Application No. 60/350,187, filed Jan. 15, 2002, U.S. Patent Application Serial No. 09/538,276, U.S. Patent Application Serial No. 09/544504, U.S. Patent Application Case No. 09/587851, and US 60/347195 filed on January 8, 2002, applied on October 17, 2001? Hey! 'Application? (^1711801/32569, December 31, 2001, PCT/US01/50812, the entire disclosure of which is incorporated herein by reference. The resin has the following general formula: [H-SUJR-SiOuk Formula (1) [Ho.s-SiKs.KgJnCRo.si.o-SiOj 5.! 8]m Formula (2) [H〇_i 〇-Sii.5]n [R--Si〇i.5]m Equation (3) [Η-Si! 5]x[R-Si〇i.5]y[Si〇2]z Equation (4) where: :η and m And or the sum of X, y and z is from about 8 to about 5000, and m*y is selected such that the carbonaceous component is present in an amount of less than about 40% (low carbon organic content = L〇sp) or greater than about 40 % (high carbon organic content = H0SP) is present; R is selected from substituted and unsubstituted, normal and branched alkyl (methyl, ethyl, butyl, propyl, pentyl), alkenyl (vinyl, allyl, isopropenyl), cycloalkyl, cycloalkenyl, aryl (phenyl, phenylhydrazine, naphthyl, anthryl and phenanthryl) and mixtures thereof, and containing a substituent The specific molar percentage is a function of the ratio of the amount of starting material. In some LOSP embodiments, the molar percentage is between about 5 mole percent. A particularly advantageous result is obtained with a carbon-containing substitution 96350.doc 1358093 base in the range of about 25 mole percent. In some HOSP embodiments, the molar percentage is between about 55 mole percent and about 75 mole percent. The carbon-containing substituents in the range of percentages have favorable results. A nanoporous ceria dielectric film having a dielectric constant in the range of about 1.5 to about 4 can also be used as one of the layers. Wn) a nanoporous tantalum oxide film as a ruthenium-based precursor which is aged or condensed in the presence of water and heated sufficiently to completely remove all pore-forming molecules and form voids in the film. The composition comprises a monomer or prepolymer having the formula Rx_Si_Ly, wherein the R is independently selected from the group consisting of an alkyl group, an aryl group, and an L-systemically electronegative moiety, such as an alkoxy group, a amide group, an amine group, a captanamine, and a halogenation group. And isocyanate and combinations thereof, the lanthanide is an integer ranging from 〇 to about 2, and the y is an integer ranging from about 0 to about 4. Other nanoporous compounds can be found in the following patents. Method: US Patent Case No. GW", 6,172'128, 6,214,746, 6,313,185, 6'380'347, and 6,380'270, each of which is incorporated herein by reference. "Cage Structure"; "Cage" ""Cagecompounds" are intended to be used interchangeably and refer to molecules having at least 10 atoms, in which the atoms are arranged such that at least one atom bridge is covalently linked. Two or more atoms of the system. In other words, a cage structure, a cage molecule or a cage compound comprises a plurality of rings formed by covalently bonded atoms, wherein the structure, molecule or compound defines a volume such that the point positioned by the volume does not cross In the case of this ring, it does not leave the volume. The atomic bridge and/or the ring system may comprise - or a plurality of heteroatoms' and may be aromatic, partially saturated or not 96350.doc -16 - 1358093, the it # covered 茏-like structure including the spherical shell a carbon molecule and a crown having at least one atomic bridge. For example, if a diamond compound or an aromatic snail compound does not have one or more atomic bridges, and the Cai compound or the hexagram compound does not have one or more atomic bridges, The aromatic spiro compound is considered to be a scorpion structure. The cage compound encompassed is not limited to only containing dish atoms, but may also include heteroatoms such as N, S, fluorene, p, and the like. Heteroatoms can advantageously be introduced into non-quadrilateral bond angle configurations. With regard to the substituents and derivatization of the cage compounds covered, it is recognized that many substituents and materials are suitable. For example, when the cage compound is relatively hydrophobic, a hydrophilic substituent can be introduced to increase solubility in a hydrophilic solvent, or vice versa. Alternatively, if a polarity is desired, a polar side chain group can be added to the cage compound. Further contemplated suitable substituents may also include thermally labile groups, nucleophilic groups, and electrophilic groups. It is also understood that a functional group (e.g., to promote a crosslinking reaction, a derivatization reaction, etc.) can be utilized in the cage compound. The cage molecule or compound as described in detail herein may also be a group attached to the polymer backbone, and thus can form a nanoporous material in which the cage compound forms a type of void (inside the molecule), and At least a portion of the backbone is crosslinked with itself or another backbone to form another type of void (intermolecular). Additional cage molecules, cage compounds, and variants of such molecules and compounds are described in detail in PCT/US 〇 1 352 569, filed on Jan. 18, 2001. The entire disclosure of which is incorporated by reference. Into this article. The polymers covered may also comprise a wide range of functional or structural moieties including aromatic systems and functional groups. In addition, suitable polymers can be used in a variety of configurations, including homopolymers and heteropolymers, 96350.doc -17-1358093. Moreover, the surrogate polymer can have various forms such as linear, branched, hyperbranched or three dimensional. The molecular weight of the polymer covered covers a wide range: typically between 400 Daltons and 400,000 Daltons or more. Additives may also be used to enhance or impart specific characteristics, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like, as is well known in the art of polymers. A compatible or incompatible polymer can be blended therein to provide the desired characteristics. Adhesion promoters can also be used. Hexamethyl diazepine represents these promoters which can be used in combination with available hydroxy functional groups which may be present on surfaces exposed to moisture or humidity, such as cerium oxide. Polymers used in microelectronic applications, specifically for dielectric layers, must contain low levels of ionic impurities (usually less than ppm, preferably less than 1 pp ppb). As long as the resulting solution can be applied to a substrate, surface, wafer or laminate, the materials, precursors and layers described herein can be and in many ways designed to be solvated or dissolved in any suitable solvent. Typical solvents are also those which are capable of solvating the monomers, isomeric monomer mixtures and polymers. The solvents contemplated include any suitable pure organic or inorganic molecule or mixture thereof which is volatilized at a desired temperature such as a critical temperature and which promotes any of the above design goals or needs. The solvent may also comprise any suitable unipolar and non-polar compound or mixtures thereof. As used herein, the polarity of a molecule or a compound at the point of or along the molecule or compound establishes characteristics of unequal charge, partial charge 1 or spontaneous charge distribution. As used herein, the term "non-polar" means that a molecule or compound at one point of the molecule or compound or 96350.doc 2 is a molecule or compound that builds an unequal charge, partial charge, or spontaneous scalpel. The characteristics of the cloth. In some of the contemplated embodiments, the solvent or solvent mixture (comprising at least two solvents) comprises a solvent which is considered to be part of the hydrocarbon solvent. The hydrocarbon solvent is a solvent containing carbon and hydrogen. It should be understood that most of the solvents are non-polar; however, a small number of hydrocarbon solvents can be considered polar. Hydrocarbon solvents are usually subdivided into three categories: aliphatic, cyclic, and aromatic. The aliphatic hydrocarbon solvent may comprise a linear compound and a branched chain and may be both a crosslinking compound, however, the aliphatic hydrocarbon solution is considered to be cyclic. The cyclic hydrocarbon solvents are those which have a carbon atom which is at least three (four) to the in-ring structure, similar to the characteristics of the aliphatic smog solvent. The aromatic hydrocarbon solvent is a solvent which usually contains three or more unsaturated bonds, and the aromatic hydrocarbon solvent has a single ring or a polycyclic ring to which a common bond is bonded and/or a polycyclic ring which is fused together. Hydrocarbon solvents covered include toluene, xylene, p-xylene, m-xylene, 1}3,5-trimethylbenzene, Shiliu 6) oil H/trol, naphtha A solvent, alkane , such as pentane, hexane, isohexane, heptane, decane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2, 2 , 4_trimethylpentane, petroleum ether, halogenated hydrocarbons, such as gasified smoke, nitrated hydrocarbons, stupid, antimony, 2-dimethylbenzene, H4 trimethylbenzene, mineral spirits, kerosene, isobutylbenzene , methyl naphthalene, ethyl benzene, light petroleum (ligroine). Solvents specifically contemplated include, but are not limited to, pentane, hexane, heptane, hexane, benzene, toluene, xylene, and mixtures or combinations thereof. In other contemplated embodiments, the solvent or solvent mixture may comprise a solvent that is not part of a compound of the hydrocarbon solvent family, such as a ketone such as propionium, 3-pentanone, diethyl ketone, hydrazine. Ketoethyl ketone and its analogs, alcohols, hydrazines, vinegars, ethers and amines. In other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein. = Real:, the solvent comprises water, ethanol, propanol, propylene, benzene, toluene, (4) MW: step coverage: the alternative low dielectric constant material may also contain additional damage. For example, softeners or other protective agents may be added when the low dielectric constant material is exposed to mechanical stress. In other cases where the dielectric material is placed on a smooth surface, an adhesion promoter may be advantageously employed. In other cases, detergents or defoamers may be added. Typically, a precursor is applied to a substrate, for example, in the form of a spin-on glass composition comprising one or more removable solvents, and then polymerized and formed to form a dielectric comprising nanopores. The film is subjected to solvent removal. Forming such nanoporous thin materials (for example, by applying spin coating to a substrate by spin coating) 'The thin film coating is usually catalyzed by an acid or a catalyst and water to cause polymerization during the preliminary heating step. / condensing (aging). If necessary, the film is then hardened (for example by subjecting the film to one or more high temperature heating steps), whereby (among other purposes) remove any residual solvent and The polymerization process is carried out. Other hardening methods include subjecting the film to radiant energy, such as ultraviolet light, electron beam, microwave energy, and the like. Co-owned U.S. Patent Nos. 6,204,202 and 6,413,882 are incorporated by reference. Herein, it provides a sulfhydryl precursor composition and a method for forming a nanoporous germanium germanium dielectric film by degrading or evaporating one or more polymers or oligomers in the precursor composition. U.S. Patent No. 6,495,479, the disclosure of which is incorporated herein by reference to U.S. Patent No. 6,495,479, the disclosure of which is incorporated herein by reference. A method of forming a nanoporous ceria dielectric film by using a compound or a material. The U.S. Patent No. 5,895,263 describes the application of a decomposable polymer and an organic polyoxolite by coating (organic poly-two gas fossils, ie, a composition comprising a condensed or polymerized oxime η), heating the composition to further condense the polydioxo oxime, and decomposing the decomposable polymer to form a porous dielectric layer, thereby forming a porous substrate , a nanoporous ceria dielectric film is formed on the wafer. ▲ a method for applying a precursor to a substrate, aging, hardening, planarizing, and making the film hydrophobic (example) For example, the commonly-owned U.S. Patent Nos. 89,889 and 6, η, 275 are described (other patents are also involved). The substrates and wafers covered herein may include any A substantially solid material is required. The particular desired substrate layer should comprise a thin film glass, a ceramic, a plastic, a metal or a coated metal, or a composite material. In a preferred embodiment, the substrate comprises a stone or stone application. Grain or crystal The surface of the package, such as copper, silver, nickel or gold-plated leadframes, as found on board surfaces such as circuit boards or package interconnect traces, channel walls, or hardener interfaces. The copper of the company includes bare steel and its oxides. It is based on polymer-based packaging or board interfaces found in the practice of imine-based packaging, spherical or spherical surfaces of ships or other metal alloys, glass and a polymer such as polyimine. When considered as adhesion (or even a "substrate," it is defined as another polymer chain. In a more preferred embodiment, the substrate is included in the package. And materials commonly found in the circuit board industry, such as germanium, copper, glass, and another polymer. 96350.doc The top cover of the film (4) is used for the cover (4)) and the half of the film deposition is patterned by (4) and ashing. The formation channel tends to remove the carbon-containing moiety from the organosilicate glass dielectric film; it is a hydrophobic group @) and is replaced with an alcohol group. Undesirable properties are caused when the organosilicate ceramic dielectric film contains a phenolic group. The smelting alcohol and its water absorbing from the air are highly polarizable in the electric field; 0 and thus will increase the dielectric constant of the film and will reduce the resistance to wet cleaning chemical reactions, and Increase volatility evolution. Moreover, when the trenches and channels are filled with metal and subjected to annealing treatment, metal shrinkage induces stress on the channels and trench walls and causes formation of dielectric materials between the channels and trenches. Unwanted gaps. To compensate for this problem, the organic salt glass dielectric film is substantially cut (4) and water to restore the carbonaceous portion and increase the hydrophobicity of the organosilicate ceramic dielectric film. This makes the film resistant to stresses on the walls of the channels and channels, such as stresses caused by metal shrinkage during annealing, stresses from other dielectric layers, and stresses during packaging, thus preventing An undesired void is formed inside the dielectric material between the channels and the trench. Etching and plasma removal of hydrophobic functional groups. Semiconductor Manufacturing Process t Organic silicate glass dielectric films are damaged by the application of corrosive plasma and/or etch reagents to etch trenches and channels into the dielectric film. Plasma is also used to remove the photoresist film during semiconductor device fabrication. The electropolymerization used is usually composed of elemental oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen (in the form of free radicals, compounds, ions and/or groups). 96350.doc -22· 1J58093 The wood trench: the channel exposed during the etching and/or photoresist removal process is degraded or damaged by the dielectric. Porous dielectric films have a very high surface area, so i is particularly susceptible to damage by plasma damage. Specific. Thus, a silica-based dielectric film having an organic content such as a methyl group bonded to a Si atom is easily degraded via oxygen electricity t. The organic group oxygen = is C 〇 2, and the stanol or Si-OH group remains on the previously observed β hai dielectric surface of the organic group. The porous ceria film depends on the organic groups (on the porous surface) to retain hydrophobicity. The loss of hydrophobicity causes the dielectric constant to rise (the low dielectric constant of the films is a critical requirement for the materials).

為移除渠溝或通道蝕刻後剩餘之殘留物之目的,亦將濕 式化學處理用於1c產製中。該等所使用之化學品常常具有 如此之腐蝕性以致於侵蝕並移除基於二氧化矽之介電薄膜 (尤其係多孔二氧化矽薄膜)中之有機基團。而且,此受損將 導致該等薄膜失去其疏水性。濕式化學蝕刻劑包括(例如) 諸如Ν·甲基吡咯烷酮、二甲基甲醯胺、二甲基乙醯胺之醯 胺;諸如乙醇及2-丙醇之醇;諸如乙醇胺之醇胺;諸如三 乙胺之胺;諸如乙二胺及Ν,Ν-二乙基乙二胺之二胺;諸如 二伸乙基三胺之三胺;諸如乙二胺四乙酸"EDTA"之二胺 酸;諸如乙酸及曱酸之有機酸;諸如四曱基乙酸銨之有機 酸銨鹽;諸如硫酸 '磷酸、氫氟酸之無機酸;諸如氟化敍 之氟化鹽;及諸如氫氧化銨及氫氧化四曱基銨之鹼;及經 胺;為後蝕刻濕式清洗而研製之商業調配物,諸如EKC 505、525、450、265、270及 630(EKC Corp·,Hayward CA)、 96350.doc -23- 1358093 以及 aCT-CMI 及 ACT__(Ashiand 取卿山 CA),此僅牧舉此項技術上已知之若干㈣劑。灰化 括衍生自氯、[氨、氨、氧、及衍生自其之混合物之電 漿’及其類似物。 為解決上述問題,本發明提供將疏水特性賦予在製造一 半導體或1C裝置過程中出現在—基板上之有機料鹽之方 法0 本發明之方法包括以下步驟:⑷在經受至少一種蝕刻劑 或灰化試劑之後,但在該金屬經受退火處理之前,將該有 ㈣酸鹽玻璃介電薄膜與ϋ農度之增_組合物接觸, 且該接觸所歷經之一段時間係能有效地將至少一些含碳部 分恢復至有機矽酸鹽玻璃介電薄膜並增加該有機矽酸鹽破 璃介電薄臈之疏水性;及(b)移除未反應之增㈣組合物、 反應產物及其混合物。該增韌劑組合物包括至少一種增韌 劑,亦即一種適合於自受損二氧化矽介電薄膜移除矽烷醇 部分之化合物或其帶電衍生物。視情況,蝕刻劑致損之奈 米多孔二氧化矽介電薄膜接著經受濕式清洗步驟。 在一實施例中’該增韌劑組合物包括至少一種具有如下 分子式之增韌劑組合物: (I) [-SiR2NR'-]n 其中 n>2 且可為環狀;(2)R3SiNR,SiR3 ; (3)(R3Si)3N ; (4)R3SiNR'2 ; (5)R2Si(NR')2 ; (6)RSi(NR')3 ; (7)RxSiCly ; (8)RxSi(OH)y ; (9)R3SiOSiR'3 ; (l〇)RxSi(〇R,)y ; (II) RxSi(OCOR,)y; (12)RxSiHy; (13)RxSi[OC(R,)=R"]4_x及 其組合, 96350.doc •24_ 1358093 其中X係1至3範圍内之一整數,_至3範圍内之一整數以 使y 4-x ,各r係獨立選自氫及一疏水性有機部分。r基較佳 獨立k自由烧基_、芳基及其組合組成之有機部分之群。 基可為H、燒基、芳基、或諸如c〇R、c〇NR、叫汉之叛基。 R"可為院基或諸如COR、CONR、C02R之幾基。 在另一特定實施例中,該增韌劑組合物包括至少一種以 下增韌劑或組合物:乙醯氧基三甲基矽烷、乙醯氧基矽烷、 一乙醯氧基矽烷、二乙醯氧基矽烷、二乙醯氧基二甲基矽 烷、甲基三乙醯氧基矽烷、苯基三乙醯氧基矽烷、二苯基 一乙醯氧基矽烷、甲基三乙氧基矽烷、二甲基二乙氧基矽 烧、二曱基乙氧基石夕烷、甲基三甲氧基矽烷、二甲基二甲 氧基矽烷、三曱基甲氧基矽烷、甲基三氣矽烷、二甲基二 氣矽烷、三甲基氯矽烷、甲基矽烷、二甲基矽烷、三甲基 石夕烧、,、甲基一石夕氮烧、六甲基環三石夕氮烧、雙(二甲胺基) 二曱基矽烷、雙(二乙胺基)二曱基矽烷、三(二甲胺基)曱基 矽烷、二(二甲胺基)苯基矽烷、三(二甲胺基)矽烷、二甲基 甲石夕烧基一甲酿胺、二甲基曱石夕炫基二乙酿胺、二甲基曱 石夕烧基二異氰酸酯、三甲基甲矽烷基三異氰酸酯、2_三甲 基曱矽烷氧基戊-2-烯-4-酮、n-(三甲基甲矽烷基)乙醯胺、 2-(二甲基曱石夕烧基)乙酸、n-(三曱基曱石夕燒基)咪哇、三甲 基甲石夕烧基丙缺酸酯、三甲基曱石夕烧基(三甲基甲石夕炫氧 基)-乙酸酯、九甲基三石夕氮烷、六甲基二矽氧燒、三甲基石夕 烷醇、三乙基矽烷醇、三苯基矽烷醇、第三丁基二曱基石夕 烷醇、二苯基矽烷二醇、三甲氧基矽烷、三乙氧基矽燒、 96350.doc -25- 1358093 三氯矽烷及其組合。在本發明之所需實施例中,增韌劑包 含二甲基二乙醯氧基矽烷。 視情況,該增韌劑組合物包括一種溶劑。合適之溶劑包 括(舉例而言)酮、醚、酯、烴及其組合。 該增韌劑組合物作為液體、氣相或氣體、及/或電漿接觸 丈損一氧化矽介電薄膜。若以電漿之形式,該電漿可衍生 自矽烷化合物、烴、醛、酯、醚及/或其組合。除非另外指 明,否則應將本文中之術語"試劑"(,,agent"或"agents")視為 術語"試劑"("reagent"或"reagents")之同義詞。 合適之增韌劑組合物包括一或多種能自一須呈現疏水性 之經蚀刻及/或經灰化有機矽酸鹽玻璃介電薄膜移除矽烷 醇基團之增韌劑。舉例而言,增韌劑係一種具有選自式 1(1-13)組成之群之分子式之化合物:,-]n,其中 η〉2且可為環狀;(2)R3SiNR,SiR3; (3)(R3Si)3N; (4)R3SiNR|2; (5)R2Si(NR')2 ; (6)RSi(NR-)3 ; (7)RxSiCly ; (8)RxSi(〇H)y ; (9)R3SiOSiR'3 ; (10)RxSi(OR')y ; (1 l)RxSi(OCOR')y ; (12)RxSiHy; (13)RxSi[OC(R|)=R”]4-x及其組合, 其中x係1至3範圍内之一整數,丫係丨至3範圍内之一整數以 使y=4-x,各R係獨立選自氫及一疏水性有機部分。R基較佳 獨立選自由烷基、芳基及其組合組成之有機部分之群。 基可為H、烷基、芳基、或諸如c〇r、C〇nr、(:〇211之幾基。 R"可為烷基或諸如COR、C0NR、C02R之羰基。 炫·基部分係官能化或非官能化,且其係選自下列各基組 成之群:直鏈烷基、支鏈烷基、環狀烷基及其組合,且其 96350.doc -26- 1358093 中該烧基部分在自Ci至約s尺寸範圍内。該芳基部分係經 取代的或未經取代的’且在自C5至約C1S尺寸範圍内。該增 韌劑較佳為乙醯氧基矽烷或(舉例而言)一單體化合物,諸如 乙醯氧基三甲基矽烷、乙醯氧基矽烷、二乙醯氧基石夕烧、 三乙醯氧基矽烷'二乙醯氧基二甲基矽烷、曱基三乙醯氧 基矽烷、苯基三乙醯氧基矽烷、二苯基二乙醯氧基矽烷、 曱基二乙氧基矽烷、二甲基二乙氧基石夕烧、三甲基乙氧基 矽烷、曱基三曱氧基矽烷、二甲基二甲氧基矽烷、三甲基 甲氧基矽烷、甲基三氣矽烷、二罕基二氯矽烷、三曱基氯 矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、六曱基二矽 氮烷、六甲基環三矽氮烷、雙(二甲胺基)二甲基矽烷、雙(二 乙胺基)二曱基矽烷、三(二甲胺基)甲基矽烷、三(二甲胺基) 苯基矽烷、三(二曱胺基)矽烷、二甲基曱矽烷基二甲醯胺、 一甲基曱矽烷基二乙醯胺、二甲基甲矽烷基二異氰酸酯、 三甲基甲⑦院基三異氰酸g旨、2_三甲基甲料氧基戊_2_稀_ 4-酮、η-(三甲基甲矽烷基)乙醯胺、2_(三甲基曱矽烷基)乙 酸、η-(三甲基甲矽烷基)咪唑、三曱基甲矽烷基丙炔酸酯、 -甲基甲石夕烧基(二甲基甲石夕院氧基)·乙酸酷、九甲基三石夕 氮烷、六甲基二矽氧烷、三曱基矽烷醇、三乙基矽烷醇、 苯基矽烷醇、第三丁基二甲基矽烷醇、二苯基矽烷二醇、 三=基料、三乙氧基錢、三氣㈣及其組合。在一 顯著實施例中,增韌劑為甲基三乙醯氧基矽烷。在一較佳 實施例中,增勃劑為二甲基二乙醯氧基石夕烧。 另外之增物劑包括如美國專利案第6,208,014號中所詳細 96350.doc 丄358093Wet chemical treatment is also used in the 1c production process for the purpose of removing residues remaining after the trench or channel is etched. The chemicals used are often so corrosive that they attack and remove organic groups in the ceria-based dielectric film, especially the porous ceria film. Moreover, this damage will cause the films to lose their hydrophobicity. Wet chemical etchants include, for example, decylamine such as hydrazine methyl pyrrolidone, dimethylformamide, dimethylacetamide; alcohols such as ethanol and 2-propanol; alcohol amines such as ethanolamine; Amines of triethylamine; diamines such as ethylenediamine and hydrazine, hydrazine-diethylethylenediamine; triamines such as diethylenetriamine; diglycols such as ethylenediaminetetraacetic acid "EDTA" Organic acids such as acetic acid and citric acid; organic acid ammonium salts such as ammonium tetradecyl acetate; inorganic acids such as sulfuric acid 'phosphoric acid, hydrofluoric acid; fluoride salts such as fluorinated; and ammonium hydroxide and hydrogen a base of oxidized tetradecyl ammonium; and an amine; commercial formulations developed for post-etch wet cleaning, such as EKC 505, 525, 450, 265, 270, and 630 (EKC Corp., Hayward CA), 96350.doc -23- 1358093 and aCT-CMI and ACT__ (Ashiand take Qingshan CA), this is only a few (four) agents known in the art. Ashing includes resins derived from chlorine, [ammonia, ammonia, oxygen, and mixtures derived therefrom] and the like. In order to solve the above problems, the present invention provides a method of imparting hydrophobic properties to an organic salt present on a substrate during the manufacture of a semiconductor or 1C device. The method of the present invention comprises the steps of: (4) subjecting to at least one etchant or ash After the reagent, but before the metal is subjected to the annealing treatment, the (tetra) acid salted glass dielectric film is contacted with the auxiliaries, and the contact is effective for at least some of the The carbon portion is restored to the organic tellurite glass dielectric film and the hydrophobicity of the organic bismuth oxide dielectric thinner is increased; and (b) the unreacted (4) composition, the reaction product, and the mixture thereof are removed. The toughening agent composition comprises at least one toughening agent, i.e., a compound suitable for removing a stanol portion from a damaged ceria dielectric film or a charged derivative thereof. Optionally, the etchant-damaged nanoporous ceria dielectric film is then subjected to a wet cleaning step. In one embodiment, the toughener composition comprises at least one toughening agent composition having the formula: (I) [-SiR2NR'-]n wherein n>2 and may be cyclic; (2) R3SiNR, SiR3; (3) (R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCly; (8) RxSi(OH)y (9) R3SiOSiR'3; (l〇) RxSi(〇R,)y; (II) RxSi(OCOR,)y; (12)RxSiHy; (13)RxSi[OC(R,)=R"]4_x And combinations thereof, 96350.doc • 24_ 1358093 wherein X is an integer in the range from 1 to 3, an integer from _ to 3 such that y 4-x , each r is independently selected from hydrogen and a hydrophobic organic moiety . The r group is preferably a group of organic moieties consisting of independent k free alkyl groups, aryl groups and combinations thereof. The group may be H, an alkyl group, an aryl group, or a treason such as c〇R, c〇NR, or Han. R" can be a base or a base such as COR, CONR, C02R. In another specific embodiment, the toughening agent composition comprises at least one of the following toughening agents or compositions: ethoxylated trimethyl decane, ethoxylated decane, monoethoxy decane, diethyl hydrazine Oxydecane, diethyl methoxy dimethyl decane, methyl triethoxy decane, phenyl triethoxy decane, diphenyl ethoxy decane, methyl triethoxy decane, Dimethyldiethoxy oxime, dimercaptoethoxy oxazetan, methyltrimethoxydecane, dimethyldimethoxydecane, tridecylmethoxydecane, methyl trioxane, two Methyl dioxane, trimethylchlorodecane, methyl decane, dimethyl decane, trimethyl sulphide, methyl ketone, hexamethylcyclotrizepine, bis(dimethylamino) a dinonyl decane, bis(diethylamino) decyl decane, tris(dimethylamino)decyl decane, bis(dimethylamino)phenyl decane, tris(dimethylamino) decane, two Methyl sulphate, sulphate, dimethyl phthalocyanine, dimethyl phthalocyanine diisocyanate, trimethyl ketone Alkyl triisocyanate, 2-trimethylnonyloxypent-2-en-4-one, n-(trimethylformamidinyl)acetamide, 2-(dimethyl fluorene ruthenium) Acetic acid, n-(trimethyl sulfonium), m-wine, trimethyl sulfonium acrylate, trimethyl sulfonium (trimethyl sulphate) Acetate, nonamethyl trisulphone, hexamethyldioxanthine, trimethyl sulphate, triethyl decyl alcohol, triphenyl decyl alcohol, tert-butyl fluorenyl sulphate, two Phenylnonanediol, trimethoxydecane, triethoxysulfonium, 96350.doc -25-1358093 trichlorodecane, and combinations thereof. In a desired embodiment of the invention, the toughening agent comprises dimethyldiethoxydecane. Optionally, the toughener composition comprises a solvent. Suitable solvents include, for example, ketones, ethers, esters, hydrocarbons, and combinations thereof. The toughening agent composition acts as a liquid, gas phase or gas, and/or plasma contact with the ruthenium oxide dielectric film. If in the form of a plasma, the plasma can be derived from a decane compound, a hydrocarbon, an aldehyde, an ester, an ether, and/or combinations thereof. Unless otherwise stated, the term "reagent"(,,agent" or "agents") in this article shall be considered synonymous with the term "reagent"("reagent" or "reagents"). Suitable toughener compositions include one or more toughening agents which are capable of removing stanol groups from an etched and/or ashed organosilicate glass dielectric film which is rendered hydrophobic. For example, the toughening agent is a compound having a molecular formula selected from the group consisting of Formula 1 (1-13): -]n, wherein η>2 and may be cyclic; (2) R3SiNR, SiR3; 3) (R3Si)3N; (4) R3SiNR|2; (5) R2Si(NR')2; (6) RSi(NR-)3; (7) RxSiCly; (8) RxSi(〇H)y; 9) R3SiOSiR'3; (10) RxSi(OR')y; (1 l) RxSi(OCOR')y; (12) RxSiHy; (13) RxSi[OC(R|)=R"]4-x and A combination thereof, wherein x is an integer in the range of 1 to 3, and the oxime is one integer in the range of 3 such that y = 4-x, and each R is independently selected from hydrogen and a hydrophobic organic moiety. Preferably, the group is independently selected from the group consisting of an alkyl group, an aryl group, and a combination thereof. The group may be H, an alkyl group, an aryl group, or a group such as c〇r, C〇nr, (: 〇211. R" It may be an alkyl group or a carbonyl group such as COR, CONR, CO 2 R. The danic base moiety is functionalized or unfunctionalized, and is selected from the group consisting of linear alkyl groups, branched alkyl groups, and cyclic groups. An alkyl group and combinations thereof, and wherein the alkyl moiety in the 96350.doc -26- 1358093 is in the range from Ci to about s. The aryl moiety is substituted or unsubstituted. From C5 to about C1S size range. The toughening agent is preferably ethoxylated decane or, for example, a monomeric compound such as ethoxylated trimethyl decane, ethoxylated decane, diethyl醯 石 夕 、, triethoxy decane 'diethoxy dimethyl decane, decyl triethoxy decane, phenyl triethoxy decane, diphenyl dimethyl decyl oxane , mercapto diethoxy decane, dimethyl diethoxy sulphur, trimethyl ethoxy decane, decyl tridecyl decane, dimethyl dimethoxy decane, trimethyl methoxy Decane, methyl trioxane, dihanyl dichlorodecane, tridecylchlorodecane, methyl decane, dimethyl decane, trimethyl decane, hexamethylene diazoxide, hexamethylcyclotriazide Alkane, bis(dimethylamino)dimethyl decane, bis(diethylamino)dimethyl decane, tris(dimethylamino)methyl decane, tris(dimethylamino)phenyl decane, three ( Diammonium) decane, dimethyl decyl dimethyl decylamine, monomethyl decyl dimethyl decylamine, dimethyl decyl diisocyanate, trimethyl 7-hospital triisocyanate g, 2_trimethylformyloxypenta-2-dibenzo-4-one, η-(trimethylformamidinyl)acetamide, 2_(trimethyldecane Acetate, η-(trimethylformamidinyl)imidazole, tridecylmethyldecylpropynyl ester, -methylmethyl sulphate (dimethyl ketone), acetic acid Nine methyl tripotarite, hexamethyldioxane, tridecyl decyl alcohol, triethyl stanol, phenyl stanol, tert-butyl dimethyl stanol, diphenyl decane diol, Three = base material, triethoxy money, three gas (four) and combinations thereof. In a significant embodiment, the toughening agent is methyltriethoxydecane. In a preferred embodiment, the booster is dimethyldiethoxy oxime. Further extenders include those detailed in U.S. Patent No. 6,208,014.

指述之夕S此表面改質試劑,如上所述,該專利案係以弓I 用之方式倂入本文中。該等多官能表面改質試劑可以氣相 或液體之形式塗布,且其視情況具有或不具有共溶劑。如 共同擁有之美國專利案第6,395,651號中料細描述,合適 之共冷劑包括(例如)諸如丙酮、二異丙基甲酮、2_庚酮、3· 戊酮及其他之酮,該專利案之揭示内容以引用之方式倂入 本文中。舉例而言’如美國專利案第6,2〇Mu號中詳細描 述某些較佳表面改質試劑將具有兩或兩個以上官能基且 與表面矽烷醇官能基反應同時將出現於該薄膜結構;架 (ameW0rk)外之物質減至最低,並包括(例如)表面石夕貌醇 可與合適之矽烷醇縮合,諸如:The surface modification reagent, as described above, is incorporated herein by way of example. The multifunctional surface modifying agents can be applied in the form of a gas phase or a liquid, with or without a cosolvent as appropriate. Suitable co-cooling agents include, for example, acetone, diisopropyl ketone, 2-heptanone, 3-pentanone, and other ketones, as described in commonly-owned U.S. Patent No. 6,395,651. The disclosure of the disclosure is incorporated herein by reference. For example, as described in detail in U.S. Patent No. 6,2, Mu, certain preferred surface modifying agents will have two or more functional groups and will react with surface stanol functional groups while present in the film structure. The material outside the shelf (ameW0rk) is minimized and includes, for example, a surface lysine which can be condensed with a suitable stanol, such as:

RxSi(〇H)4.x 式 II 其中X-卜3 ’且各尺係獨立選擇之部分,諸如η及/或諸如烷 基、、芳基或其衍生物之—有機部分。當R聽基時,燒基部 分視情況係經取代的或未經取代的,且可為直鍵、支鍵或 環狀,且較佳為自Ci至約〜或更大之尺寸範圍内,且更佳 為:C,至約Cs之尺寸範圍内。當尺為芳基時,芳基部分較佳 由一視情況經取代或未經取代之單芳族環組成,且為自c 至約C18或更大之尺寸範圍内’且更佳為自。之5 範圍内。在可進-步選擇之物中,芳基部分係雜芳基。 在另-實施例中,可將絲基魏用於作為增_,例 如諸如下式之合適之烷氧基矽烷:RxSi(〇H)4.x Formula II wherein X-Bu3' and each ruler are independently selected, such as η and/or an organic moiety such as an alkyl group, an aryl group or a derivative thereof. When R listens to a group, the alkyl group is optionally substituted or unsubstituted, and may be a direct bond, a bond or a ring, and preferably ranges from Ci to about 〜 or larger. And more preferably: C, to a size range of about Cs. When the aryl group is an aryl group, the aryl moiety is preferably composed of a monosubstituted or unsubstituted monoaromatic ring and is in the range of from c to about C18 or more and more preferably. Within 5 limits. Among the alternatives, the aryl moiety is heteroaryl. In another embodiment, the silk-based Wei can be used as an alkoxy decane such as, for example, the following formula:

RxSi(OR,)4_x 其t ii係獨立選擇之部分,諸如膨或諸如貌基、芳基或其 96350.doc -28- [jyj 奸生物之一有機部分· 當_炫基時,二I:立广烧基或芳基部分。 的,且可為直鏈、域經取代的或未經取代 :::::…且更佳為自一之尺寸範二 芳基部分較佳由一視情況經取代或未經取 代之單芳無壞組成,日丸 為自C:5至約C1S或更大之尺寸範圍 内且更仏為自C5至約c8之尺寸範圍内。在可進一步選擇 之物中方基部分係雜芳基。因此,r基係獨立選自Η、甲 基、乙基、丙基、苯基及/或其衍生物,其限制條件為至少 :似係有機的。在-實施例中,兩⑽基均為甲基,且三 官能表面改質試劑為甲基三f氧基矽烷。 在另實施例中,根據本發明之合適石夕烧具有以下通式:RxSi(OR,)4_x Its t ii is an independently selected part, such as a swelling or such as a phenotype, an aryl group or its 96350.doc -28- [jyj one of the biological parts of the genus. Liguang base or aryl part. And may be linear, domain substituted or unsubstituted:::::... and more preferably one size. The diaryl moiety is preferably substituted or unsubstituted by a single case. Without a bad composition, the Japanese pill is in the range of sizes from C:5 to about C1S or more and more preferably in the size range from C5 to about c8. The aryl group is heteroaryl in a further alternative. Thus, the r group is independently selected from the group consisting of hydrazine, methyl, ethyl, propyl, phenyl and/or derivatives thereof, with the proviso that it is at least: organic. In the examples, both (10) groups are methyl and the trifunctional surface modifying reagent is methyl tri-foxydecane. In another embodiment, a suitable Shih-hsing according to the present invention has the following general formula:

RxSi(NR2)4.x 式 m 其中X=1:3 ’R獨立為H、貌基及/或芳基。其中任-R為院 基及/或芳基。在較佳實施例中,R係選自H、、C6H5, 且R2及R3均為CH3。因此三官能增韌劑包括(例如)三(二曱胺 基)甲基矽烷、二(二甲胺基)苯基矽烷及/或三(二甲胺基)矽 烧。另外’可使用諸如六甲基環三矽氮烷、雙二甲胺基二 甲基石夕烧及雙二乙胺基二甲基矽烷之經雙基取代之矽烷。RxSi(NR2)4.x Formula m wherein X=1:3 ’R is independently H, a top group and/or an aryl group. Wherein -R is a hospital base and/or an aryl group. In a preferred embodiment, R is selected from the group consisting of H, C6H5, and R2 and R3 are both CH3. Thus, the trifunctional toughening agent includes, for example, tris(diamine)methyldecane, bis(dimethylamino)phenyldecane, and/or tris(dimethylamino)oxime. Further, a di-substituted decane such as hexamethylcyclotriazane, bisdimethylamino dimethyl sulphur and bisdiethylamino dimethyl decane may be used.

在另一實施例中,根據本發明之合適矽烷具有以下通式: RxSi(〇N=CR2)4_x或 RxSi[0C(RI)=R”]4 式 IV ,、甲x=l-3,且R基獨立為H、烷基及/或芳基,R,可為H、烷 基、芳基、烧氧基或芳氧基,且尺"可為烷基或羰基。因此, 改質试劑分別包括(例如)甲基三(甲基乙基酮肟)矽烷或2- 96350.doc •29· 1358093 二甲基甲石夕烧氧基戊_2_烯_4_酮。 在另一實施例中,根據本發明之合適矽烷具有以下通式:In another embodiment, a suitable decane according to the present invention has the general formula: RxSi(〇N=CR2)4_x or RxSi[0C(RI)=R"]4 Formula IV, A x=l-3, and The R group is independently H, an alkyl group and/or an aryl group, and R may be H, an alkyl group, an aryl group, an alkoxy group or an aryloxy group, and the ruler may be an alkyl group or a carbonyl group. Therefore, the modification test The agents include, for example, methyl tris(methyl ethyl ketoxime) decane or 2- 96350.doc • 29· 1358093 dimethyl methacrylate pentyl oxy-2-ene -4- ketone. In the examples, suitable decanes according to the invention have the general formula:

RxSi(NCOR2)4.x4RxSi(NCO)4-x 其中x=l-3,R基獨立為η、烷基及/或芳基。因此,表面改 質試劑包括(例如)二曱基甲矽烷基二曱醯胺、二甲基甲矽烷 基二乙醯胺、二曱基曱矽烷基二異氰酸酯、三曱基甲矽烷 基三異氰酸酯。 在又一實施例中’根據本發明之合適矽烷具有以下通式:RxSi(NCOR2)4.x4RxSi(NCO)4-x wherein x=l-3, the R group is independently η, alkyl and/or aryl. Accordingly, the surface modifying agent includes, for example, dimethyl decyl decylamine, dimethyl decyl dimethylamine, dimethyl decyl diisocyanate, tridecyl decyl triisocyanate. In yet another embodiment, a suitable decane according to the present invention has the following general formula:

RxSiCl4.x 式 V 八中X 1 - 3,R為η、烧基或芳基。在一較佳實施例中,r為 CH3。因此根據式ν之三官能表面改質試劑包括(例如)甲基 三氣矽烷。 在一更佳實施例中’封蓋(capping)試劑包括一或多種具 有如下通式之有機乙醯氧基矽烷:RxSiCl4.x Formula V VIII X 1 - 3, R is η, alkyl or aryl. In a preferred embodiment, r is CH3. Thus, a trifunctional surface modifying reagent according to formula ν includes, for example, methyltrioxane. In a more preferred embodiment, the capping reagent comprises one or more organic ethoxylated decane having the formula:

(Ri)xSi(OCOR2)y 式 VI 較佳地,X係一值在自丨至2範圍内之整數,且x#y可相同或 不同,且y係一自約2至約3或更大範圍内之整數。 包括多官能烷基乙醯氧基矽烷及/或芳基乙醯氧基矽烷 化合物之適用有機乙醯氧基矽烷包括(僅作為實例而非意 欲限制)曱基三乙醯氧基矽烷("MTAS”)、二曱基二乙醯氧某 矽烷(DMDAS)、苯基三乙醯氧基矽烷及二笨基二乙醯氧基 矽烷及其組合。 & 視情況,將增韌劑與一諸如2_庚酮之合適溶劑混合,以 氣相或液體之形式塗布於奈米多孔二氧切表面且隨後將 96350.doc •30· 1358093 其乾燥。在所涵蓋之實施例中,使用50%六曱基二矽氮烷 (HMDZ)及50% 3-戊酮之混合物。將該液體旋轉塗布於一表 面、基板或晶圓。接著在高達425°C下將該塗布之表面在烘 焙盤上烘焙。烘焙之後係接著進行PVD阻障及Cu晶種沉 積。在另一所涵蓋之實施例中,使用二曱基二乙醯氧基矽 烷(DMDAS)與3-戊酮之混合物。將該液體旋轉塗布於一表 面、晶圓或基板。接著在高達425°C下將該塗布之表面在烘 培盤上烘焙。該烘焙步驟之後係PVD障壁及Cu晶種沉積。 在另一實施例中,在上述實施例中之烘焙步驟之後執行 使用諸如AP395或稀釋HF之化學品之濕式清洗。該濕式清 洗適用於移除灰化後剩餘之抗蝕劑殘留物。蝕刻及灰化後 之未經處理之低k介電材料易於受濕式清洗試劑侵蝕。增韌 劑處理顯著改良了低k介電質對濕式清洗侵蝕之抵抗力。 視處理流程而定’在增韌劑處理過程中,尤其在通道底 部可曝露銅表面。除自銅表面移除天然氧化物外,濕式清 洗亦可移除增韌劑與曝露之銅表面之任一反應產物。具體 5之,使用AP395之濕式清洗能清洗先前曝露於使用 DMDAS之增韌劑處理之銅(或任一合適之金屬或金屬合金) 表面。 隨後,可用一種金屬來填充該等通道及渠溝;並將該金 屬經堂退火處理。如本文所用之術語,,金屬”意謂彼等位於 &素週期表d區及f區中之元素、及諸如碎及鍺之彼等具有 類金屬特[生之凡素。如本文所用之短語區"意謂具有填充 了該元素之核子周圍之3d、4d、咒及⑺軌道之電子之彼等 96350.doc 丄现ϋ93 元素。如本文所用之短語"m "意謂具有填充了該元素之核 子周圍之4f及5f執道之電子之彼等元素,包括鑭系元素⑽ 系元素。較佳金屬包括銦、銀、銅、鋁、錫、鉍、鎵及其 合金、經銀塗布之銅、及經銀塗布之鋁。術語"金屬"亦包 括合金、金屬/金屬複合物、金屬陶瓷複合物、金屬聚合物 複口物及其他金屬複合物。可藉由在自約nc至約 350 C或200 C至250 C之溫度下加熱約10秒至約6〇秒來進 行退火。只要執行退火,此等時間及溫度並非關鍵性的。(Ri)xSi(OCOR2)y Formula VI Preferably, the X-based value is an integer ranging from 丨 to 2, and x#y may be the same or different, and y is from about 2 to about 3 or more. An integer in the range. Suitable organoethoxylated decanes including polyfunctional alkyl ethoxy decane and/or aryl ethoxy decane compounds include, by way of example only and not limitation, decyltriethoxy decane (" MTAS"), dimercaptodiethyloxy alkane (DMDAS), phenyltriethoxydecane and dipyridyldimethoxydecane, and combinations thereof. & Optionally, toughening agent and A suitable solvent such as 2_heptanone is mixed and applied to the surface of the nanoporous dioxate in the form of a gas phase or a liquid and then dried by 96350.doc • 30·1358093. In the examples covered, 50% is used. a mixture of hexamethylene diazoxide (HMDZ) and 50% 3-pentanone. The liquid is spin coated onto a surface, substrate or wafer. The coated surface is then baked on a baking tray at up to 425 °C. Baking. The baking is followed by PVD barrier and Cu seed deposition. In another contemplated embodiment, a mixture of dimercaptodimethoxydecane (DMDAS) and 3-pentanone is used. Spin coating on a surface, wafer or substrate. This coating is then applied up to 425 ° C The surface is baked on a baking tray. The baking step is followed by PVD barrier and Cu seed deposition. In another embodiment, the use of a chemical such as AP395 or diluted HF is performed after the baking step in the above embodiment. The wet cleaning is suitable for removing the remaining resist residue after ashing. The untreated low-k dielectric material after etching and ashing is easily attacked by the wet cleaning agent. The toughening agent is treated significantly. Improved resistance of low-k dielectric to wet cleaning erosion. Depending on the process flow, the copper surface can be exposed during the toughening agent treatment, especially at the bottom of the channel. In addition to removing the natural oxide from the copper surface, Wet cleaning can also remove any reaction product between the toughening agent and the exposed copper surface. Specifically, the wet cleaning with AP395 can clean the copper previously treated with the TMDAS toughening agent (or any suitable a metal or metal alloy) surface. Subsequently, a metal can be used to fill the channels and trenches; and the metal is annealed. As used herein, the term "metal" means that they are located in & The elements in the d and f areas of the period, as well as those such as shreds and scorpions, have the class of metal-like elements. The phrase area " as used herein means that there are elements of the 3d, 4d, and (7) orbital electrons surrounding the nucleus of the element, 96350.doc 丄 ϋ 93 elements. The phrase "m " as used herein means that there are elements of the electrons that are filled with 4f and 5f around the nucleus of the element, including lanthanide (10) elements. Preferred metals include indium, silver, copper, aluminum, tin, antimony, gallium and alloys thereof, silver coated copper, and silver coated aluminum. The term "metal" also includes alloys, metal/metal composites, cermet composites, metal polymer laminates, and other metal composites. Annealing can be carried out by heating at a temperature of from about nc to about 350 C or from 200 C to 250 C for about 10 seconds to about 6 seconds. These times and temperatures are not critical as long as annealing is performed.

貫鈿例中,可在第一涵蓋實施例中之烘焙處理前執 订濕式清洗。在濕式清洗後執行該高溫烘培步驟。此方法 之一有利點可為:在藉由烘焙處理使其"變硬"前,濕式清 洗可移除過量增_及與任—曝露之銅表面反應之任一產 物。此可引起介電材料中之較低量之揮發性組份及一較清 潔之銅表面。兩者均可引起一改良之長期可靠性。在另一In the example, the wet cleaning can be performed prior to the baking treatment in the first covered embodiment. This high temperature baking step is performed after the wet cleaning. One of the advantages of this method may be that the wet scrubber removes any excess product that reacts with any of the exposed copper surfaces before it is "hardened" by the baking process. This can result in a lower amount of volatile components in the dielectric material and a clearer copper surface. Both can lead to an improved long-term reliability. In another

所涵蓋之實施例中,在增㈣UTA)處理前在自約1〇代至乡 00 C下執仃另外脫水烘焙i _至12〇論。該脫水烘焙老 除該受損低k介電質中所吸收之任—潮氣。在增勃劑處理桌 自該介電質移除潮氣使該處理更為有效。 在替代實施例中,增勒劑組合物係藉由將敍刻劑致^ 之有機矽酸鹽破璃介電薄膜曝露於衍生自上述之任一增歡 d之電聚而提供。在__典型程序巾,將該有機#酸鹽玻確 介電薄膜置於—電漿產生室中,如電漿增強式化學氣相沉 積(PECVD)系統,將增㈣彳組合物之氣相及氬氣相通過電 襞產生室;接著啟動RF能量源以建置電漿;包括氬氣體以 96350.doc -32- 1358093 有助於促進電漿之形成。電漿由衍生自增韌劑組合物之離 子斷片(ionic fragment)組成;舉例而言,離子斷片CH3Si+ 係產生自甲基矽烷(CHsSiH3)。此斷片及矽烷醇基團反應以 形成疏水性Si-CHs部分。可將上述之任一增韌劑組合物用 於此電襞引發表面處理。 用於電漿引發表面改質處理之其他合適增韌劑組合物包 括心/!2烷基及芳族烴。最佳烴為甲烷。用於電漿引發之增 勒劑組合物之其他試劑包括醛、酯、酸性氯化物及驗。合 適之醛包括乙醛及苯曱醛;合適之酯包括乙酸乙酯及苯曱 酸甲酯;合適之酸性氣化物包括乙醯氣及苯甲氯;且合適 之醚包括二乙醚及苯甲醚。可將廣泛種類之單晶圓或多晶 圓(分批)電浆系統用於此方法;此等系統包括諸如Gas〇nics L3510光阻灰化器之所謂之下游灰化器、諸如Appiied Matenals P5000之PECVD介電沉積系統或反應式離子蝕刻 ("RIE")系統。概括而言,用於電漿方法之條件在以下範圍 内:20°C至450°C之腔室溫度;50界至1〇〇〇 W2RF功率; 0.05至100 ton*之腔室壓力;5秒至5分鐘之電漿處理時間; 及100-2000 seem之表面改質流率;1〇〇_2〇〇〇sccm之惰性氣 體流率(通常為氬)。 熟習此項技術者應瞭解:本發明亦欲包涵藉由應用上述 電漿表面處理而將疏水性表面賦予多孔及/或無孔、受損抑 或未受損之二氧化矽介電薄膜之方法。使用此等方法製造 之半導體裝置或1C亦為本發明之一部分。 可將經處理之介電層及材料用於或倂入任—合適之電子 96350.doc -33- 1358093 組件。通常認為如本文中所涵蓋之電子組件包含可 於電子(electroniC-based)之產物之任一介電植件或叠層二 電組件。所涵蓋之電子組件包含f路板、晶片封裝、電路 :之介電組件、印刷導線板、及如電容器、電感器及電阻 器之電路板其他組件。 基於電子之產物在其準備在工業中或由其他消費者來使 用之意義上而言可為”經完成的"。已完成之消費產物實例 包括電視、電腦、手機、尋呼機、掌上型管理器' 攜帶型 收音機、汽車用立體聲收音機及遙控器。亦涵蓋諸如電路 板、晶片封裝及鍵盤之,,中間”產物,其可用於已完成之產 物中。 電子裝置亦可包含處在自概念模型至最終按比例擴大模 型(scale-up mock-up)之任一研製階段的原型組件。一原型 可能含有或可能不含有已完成產物中所意設之所有實際組 件,且原型可具有__些由複合材料建構以當初始測試時排 除其對其他組件之初始效應之組件。電子產物及組件可包 含疊層材料、疊層組件及層壓以備用於該組件或產物之組 件。 以下非限制性實例係用來說明本發明。 實例1 將一系列自 Honeywell Internati〇nal,inc,SunnyvaIe, California購得之6000A NANOGLASS E奈米多孔二氧化矽 薄膜塗布於一 200 mm矽基板上,並接著在TEL DRM_85蝕 刻器中使其曝露於基於qF8之蝕刻及基於〇2之灰化處理。 96350.doc •34- 1358093 評估兩種增韌劑(ΤΑ-1及ΤΑ-2) »該等增韌劑以標準旋塗式 介電(SOD)塗布器塗布於晶圓,且將該等晶圓在125°C、 200°C及3 50°C下烤盤烘焙(hot plate baked)分別歷經1分 鐘。使用橢圓對稱法(ellipsometry)量測薄膜厚度及折射 率。使用FTIR分析元素組合物。在一 〇.1 MHz Hg探針上量 測介電常數。藉由熱解吸附質譜法(TDMS)評估該薄膜之熱 穩定性。用3000A NANOGLASS E薄膜作為ILD且2000A TEOS氧化物作為頂蓋層而製備單能級金屬(Single level metal)Cu鑲嵌結構。在200°C下,在N2環境下執行Cu退火1 hr,接著使用一聚焦離子束掃描電子顯微鏡(FIB-SEM)來檢 測ILD中之空隙。在Cu化學機械研磨法(CMP)後,使用一自 動探針儀來電子測試每晶圓之25個晶粒。 表I中呈現了經硬化之NANOGLASS®E薄膜之特性。 表I.經硬化之NANOGLASS^E薄膜之一般特性 參數 技術 結果 孔徑 BET 20A 折射率 橢圓對稱法 1.24 介電常數 ΜΙΜ@1ΜΗζ 2.2 彈性係數 納米壓痕 4.5 Gpa 硬度 納米壓痕 0.4 Gpa 熱穩定性 等溫TGA <1%重量損失 自NANOGLASS E之FTIR光譜觀察發現:與經硬化之薄 膜相比較,該蝕刻及灰化處理導致C-H及Si-C含量減少了 30-40%且Si-OH及H-OH鍵顯著增加。增勒劑處理導致c-H 及Si-C含量接近於經硬化之薄膜之C-H及Si-C含量。TA-2在 補充碳及減少Si-OH及H-0H鍵方面比ΤΑ-1更有效。 96350.doc •35· 1358093 蝕刻及灰化處理後,低k薄膜之介電常數變高(>3 〇)。 估計此歸因於Si-OH基團之潮氣吸附。該增韌劑處理將让值 減小至接近硬化後之水平。 表π展示在蝕刻及灰化後,在大多濕式清洗化學反應中, NANOGLASS E薄膜係親水性的且具有高蝕刻率,從而使其 不適合於濕式清洗。TA-1處理使該薄膜具有疏水性,且對 一些濕式清洗化學品具有抵抗力。 表π.蝕刻-灰化(對照)之後及蝕刻-灰化及TA1處理之後 (ΤΑ-1)對曝露於各種濕式清洗化學品之nano GLASS E 薄膜之影響 濕式清洗條件 餘刻率(A/min) DI水接觸角(度) 對照 TA-1 對照 TA-1 無濕式清洗 <10 122 A(稀釋HF) >1000 0 33 112 B(含水酸性) 5 0 <10 118 C(半-含水氟化物) 25 14 <10 <10 叫有機胺) 70 23 <10 47 在400°C時’該介電薄膜之TDMS光譜展示經TA-1處理之 薄膜中之揮發性物質之演化與蝕刻及灰化後之薄膜類似。 經TA-2處理之薄膜展示了一具有低量揮發物之顯著改良之 熱穩定性。In the examples covered, additional dehydration baking i _ to 12 paradoxes were imposed from about 1 至 to 乡 00 C before the treatment of the (IV) UTA. This dehydration roasting removes any moisture absorbed by the damaged low-k dielectric. Removing the moisture from the dielectric at the booster treatment table makes the treatment more effective. In an alternate embodiment, the builder composition is provided by exposing the organic silicate dielectric dielectric film of the sizing agent to electropolymerization derived from any of the above. In the __ typical program towel, the organic #acid glass dielectric film is placed in a plasma generation chamber, such as a plasma enhanced chemical vapor deposition (PECVD) system, which will increase the gas phase of the (tetra) ruthenium composition. And the argon gas phase is passed through the electricity generating chamber; then the RF energy source is activated to build the plasma; including argon gas at 96350.doc -32 - 1358093 helps to promote plasma formation. The plasma consists of an ionic fragment derived from a toughener composition; for example, the ion fragment CH3Si+ is derived from methyl decane (CHsSiH3). This fragment reacts with the stanol group to form a hydrophobic Si-CHs moiety. Any of the above toughening agent compositions can be used for the surface treatment of the electric raft. Other suitable toughening compositions for plasma initiated surface modification include core/! 2 alkyl and aromatic hydrocarbons. The most preferred hydrocarbon is methane. Other reagents for the plasma-initiated builder composition include aldehydes, esters, acid chlorides, and assays. Suitable aldehydes include acetaldehyde and benzofural; suitable esters include ethyl acetate and methyl benzoate; suitable acid vapors include acetamidine and benzyl chloride; and suitable ethers include diethyl ether and anisole . A wide variety of single-wafer or multi-wafer (batch) plasma systems can be used for this method; such systems include so-called downstream ashers such as the Gas〇nics L3510 photoresist ashifier, such as the Appiied Matenals P5000 PECVD Dielectric Deposition System or Reactive Ion Etching ("RIE") system. In summary, the conditions for the plasma process are in the following ranges: chamber temperature of 20 ° C to 450 ° C; 50 至 to 1 〇〇〇 W 2 RF power; chamber pressure of 0.05 to 100 ton *; 5 seconds Plasma treatment time to 5 minutes; and surface modification flow rate of 100-2000 seem; inert gas flow rate (usually argon) of 1 〇〇 2 〇〇〇 sccm. Those skilled in the art will appreciate that the present invention is also intended to encompass a method of imparting a hydrophobic surface to a porous and/or non-porous, damaged or undamaged cerium oxide dielectric film by applying the above-described plasma surface treatment. A semiconductor device or 1C fabricated using such methods is also an integral part of the present invention. The treated dielectric layer and materials can be used or incorporated into any suitable electronic 96350.doc -33- 1358093 component. Electronic components as referred to herein are generally considered to comprise any dielectric implant or laminate electrical component that is an electroniC-based product. The electronic components covered include f-boards, chip packages, circuits: dielectric components, printed wiring boards, and other components of circuit boards such as capacitors, inductors, and resistors. Electronically based products may be "completed" in the sense that they are intended to be used in the industry or by other consumers. Examples of completed consumer products include televisions, computers, cell phones, pagers, palm-sized managers 'Portable radios, stereo radios for cars and remote controls. Also covers, for example, circuit boards, chip packages and keyboards, intermediate products, which can be used in finished products. The electronic device can also include prototype components in any stage of development from a conceptual model to a final scale-up mock-up. A prototype may or may not contain all of the actual components intended for the finished product, and the prototype may have components that are constructed from composite materials to exclude their initial effects on other components when initially tested. Electronic products and components can comprise laminates, laminate components, and laminates for use in the assembly of components or products. The following non-limiting examples are illustrative of the invention. Example 1 A series of 6000A NANOGLASS E nanoporous ceria films purchased from Honeywell Internati〇nal, Inc, SunnyvaIe, California were coated onto a 200 mm 矽 substrate and subsequently exposed to a TEL DRM_85 etcher. Etching based on qF8 and ashing based on 〇2. 96350.doc • 34- 1358093 Evaluation of two toughening agents (ΤΑ-1 and ΤΑ-2) » These toughening agents are applied to the wafer using a standard spin-on dielectric (SOD) applicator and the crystals are applied The round plate was baked at 125 ° C, 200 ° C and 3 50 ° C for 1 minute. Film thickness and refractive index were measured using ellipsometry. The elemental composition was analyzed using FTIR. The dielectric constant was measured on a .1 MHz Hg probe. The thermal stability of the film was evaluated by thermal desorption mass spectrometry (TDMS). A single level metal Cu damascene structure was prepared using a 3000A NANOGLASS E film as the ILD and 2000A TEOS oxide as the cap layer. Cu annealing was performed at 200 ° C for 1 hr in an N 2 atmosphere, followed by a focused ion beam scanning electron microscope (FIB-SEM) to detect voids in the ILD. After Cu chemical mechanical polishing (CMP), an automated probe was used to electronically test 25 grains per wafer. The properties of the hardened NANOGLASS® E film are presented in Table I. Table I. General Characteristics of Hardened NANOGLASS^E Films Technical Results Aperture BET 20A Refractive Index Elliptical Method 1.24 Dielectric Constant ΜΗζ@1ΜΗζ 2.2 Elasticity Nanoindentation 4.5 Gpa Hardness Nanoindentation 0.4 Gpa Thermal Stability Isothermal TGA <1% weight loss observed from the FTIR spectrum of NANOGLASS E: Compared with the hardened film, the etching and ashing treatment resulted in a 30-40% reduction in CH and Si-C content and Si-OH and H- The OH bond is significantly increased. The addition of the agent treatment resulted in c-H and Si-C content close to the C-H and Si-C content of the hardened film. TA-2 is more effective than ΤΑ-1 in replenishing carbon and reducing Si-OH and H-0H bonds. 96350.doc •35· 1358093 After etching and ashing, the dielectric constant of the low-k film becomes high (>3 〇). This is estimated to be due to moisture adsorption of Si-OH groups. This toughening agent treatment will reduce the value to near the level after hardening. Table π shows that after etching and ashing, the NANOGLASS E film is hydrophilic and has a high etching rate in most wet cleaning chemical reactions, making it unsuitable for wet cleaning. The TA-1 treatment renders the film hydrophobic and resistant to some wet cleaning chemicals. Table π. After etching-ashing (control) and after etching-ashing and TA1 treatment (ΤΑ-1) affecting nano GLASS E film exposed to various wet cleaning chemicals, wet cleaning condition residual rate (A /min) DI water contact angle (degrees) Control TA-1 Control TA-1 No wet cleaning <10 122 A (diluted HF) >1000 0 33 112 B (aqueous acidity) 5 0 <10 118 C( Semi-aqueous fluoride) 25 14 <10 <10, organic amine) 70 23 <10 47 TDMS spectrum of the dielectric film at 400 ° C shows volatile substances in the TA-1 treated film The evolution is similar to that of the etched and ashed film. The TA-2 treated film exhibited a significantly improved thermal stability with low amounts of volatiles.

在對照情況下(亦即無增韌劑),Cu退火後可在ILD間隙間 看到顯著空隙。在退火前觀察不到空隙。先前已報導了有 關多孔低k材料之類似觀察結果(參看人.1^31311811“&、>1· Ohashi、K. Inukai、H. J. Shin、S. Sone、K. Sudou、K_ Misawa、I. Matsumoto及 N_ Kobayashi,Proceedings of IEEE 96350.doc •36· 1358093In the case of control (i.e., no toughening agent), significant voids were observed between the ILD gaps after Cu annealing. No voids were observed before annealing. Similar observations on porous low-k materials have been previously reported (see person. 1^31311811 "&,>1. Ohashi, K. Inukai, HJ Shin, S. Sone, K. Sudou, K_ Misawa, I. Matsumoto and N_Kobayashi, Proceedings of IEEE 96350.doc • 36· 1358093

International Interconnect Technology Conference, 2003, 147 (2003);及 J. C. Lin、R. A. Augur、B. J. Daniels、S. L. Shue、C. H. Yu及 M. S. Liang j Proceedings of Advanced Metallization Conference 2002, 637 (2002))。來自 Cu退火處 理之張應力估計為用於空隙形成之驅動力。經ΤΑ-1或TA-2 處理之晶圓在Cu退火之後恰恰展示係無空隙的。因此,證 明"修補"該C-損耗受損對韌化該多孔低k材料係一種避免 由於外部應力而導致形成空隙之有效方式。 線至線洩漏電流不受增韌劑處理之影響*對照晶圓展示 了蛇形線電阻(serpentine resistance)之廣泛分佈。經發現: 高電阻尾隨脈衝(resistance tail)係歸因於諸如浮泡、Cu浸姓 及訊坑(pitting)之缺陷,其可能由滯留於受損ILD區之濕氣 所導致。因為消除了潮氣滯留及相關缺陷,所以經增韌劑 處理之晶圓展示了緊密之電阻分佈。儘管中值電容不受增 韌劑處理影響,但歸因於較少之訊坑/浮泡缺陷,經處理之 梳形電容結構具有一更高良率。 已揭示處理引發受損對NANOGLASS®E及其他類似Si基 薄膜之影響。使用增韌劑TA-1或TA-2之灰化後處理能恢復 一未受損材料之特性。已證明在SLM結構中成功應用增韌 劑,其引起ILD空隙之消除及互連測試結構之高良率。 實例2 藉由標準旋轉塗布方法,在一 Si晶圓上形成可自 Honeywell International Inc.,Sunnyvale,California購得之 6000A HOSP矽薄膜。在400°C之N2中硬化該等薄膜。 96350.doc •37- 1358093 藉由使其依序經受以下處理而將電漿致損引入該等薄 膜:International Interconnect Technology Conference, 2003, 147 (2003); and J. C. Lin, R. A. Augur, B. J. Daniels, S. L. Shue, C. H. Yu, and M. S. Liang j Proceedings of Advanced Metallization Conference 2002, 637 (2002)). The tensile stress from the Cu annealing treatment is estimated to be the driving force for void formation. Wafers treated with yttrium-1 or TA-2 show exactly void-free after Cu annealing. Therefore, it is proved that the "cure" damage to the porous low-k material is an effective way to avoid void formation due to external stress. Line-to-line leakage current is not affected by toughener treatment* The control wafer exhibits a broad distribution of serpentine resistance. It has been found that high resistance trailing tails are attributed to defects such as bubbling, Cu dip and pitting, which may be caused by moisture trapped in the damaged ILD zone. The toughener treated wafer exhibits a tight resistance distribution because moisture retention and associated defects are eliminated. Although the median capacitance is not affected by the toughening agent treatment, the processed comb capacitor structure has a higher yield due to fewer pit/float defects. The effects of treatment-induced damage on NANOGLASS® E and other similar Si-based films have been disclosed. The post-ashing treatment with the toughening agent TA-1 or TA-2 restores the properties of an undamaged material. Tougheners have proven successful in SLM structures, which cause the elimination of ILD voids and the high yield of interconnect test structures. Example 2 A 6000A HOSP(R) film commercially available from Honeywell International Inc., Sunnyvale, California was formed on a Si wafer by standard spin coating methods. The films were hardened in N2 at 400 °C. 96350.doc • 37- 1358093 Introducing plasma damage into the films by subjecting them to the following treatments:

蚀刻:1000 W/40mT/10 seem C4F8/200 sccn^O/30〇 ’DEtching: 1000 W/40mT/10 seem C4F8/200 sccn^O/30〇 ’D

SccmSccm

Ar/100 seem N2,40〇C(20秒)。 灰化:400 W/45 mT/100 seem 02, 40°C(20秒)。 使用27% DMDAS於3-戊酮中之混合物執行增韌舞丨處 理。旋轉塗布增韌劑材料後,在125°C、200°C及3501 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑 介電常數(k) 2.7 3.0 2.8Γ^ FTIR(CH/SiO 比) 0.0235 0.017 0.020^^ H2o接觸角(°) 104 26 破壞強度(MV/cm) 5.51 4.1 5.12^\ 實例3Ar/100 seem N2, 40〇C (20 seconds). Ashing: 400 W/45 mT/100 seem 02, 40 ° C (20 seconds). The toughening process was performed using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, the films were each baked at 125 ° C, 200 ° C and 3501 for 1 min. The following results were recorded: The measured value of the dielectric constant (k) of the toughening agent after etching and ashing before etching and ashing 2.7 3.0 2.8Γ^ FTIR (CH/SiO ratio) 0.0235 0.017 0.020^^ H2o contact angle (°) 104 26 Destructive Strength (MV/cm) 5.51 4.1 5.12^\ Example 3

藉由標準旋轉塗布方法,在Si晶圓上形成可自HoneyWeUFormed on a Si wafer by a standard spin coating method available from HoneyWeU

International Inc·,Sunnyvale,California 購得之 6〇〇〇入 NANOGLASS 1.9矽薄膜。在425°C之N2中硬化該等薄膜。 藉由使其依序經受以下處理而將電漿致損引入該等薄 膜: 蚀刻:1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Ar/1 0i3 seem N2,40°C (20秒)。 灰化:400 W/45 mT/100 seem 02, 40°C(20秒)。 使用27% DMDAS於3-戊酮中之混合物執行增勤劑處 理。旋轉塗布增韌劑材料後,在125。(:、200°C及350°C N2 96350.doc -38- 1358093 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 1.83 2.69 1.94 FTIR(CH/SiO 比) 0.0078 0.0027 0.0054 破壞強度(MV/cm) 4.51 1.22 3.76 實例4 藉由使其依序經受以下處理而將電漿致損賦予一商業級 多孔CVD低k介電薄膜: 蝕刻:40 mT,1400 W 160 Ar/80 CF4/20 〇2 40 mT, 1400 W, 20 s 灰化:400 W/45 mT/100 seem 02, 40°C(30秒)。 使用27% DMDAS於3-戊酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125t:、200°C及350°C N2 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 2.36 2.76 2.39 FTIR(CH/SiO 比) 0.02473 0.0149 0.013 破壞強度(MV/cm) 5.06 3.07 4.77 實例5 使用125°C、200°C及35〇t:之習知烘焙方法製備可自International Inc., Sunnyvale, California purchased 6 into the NANOGLASS 1.9 film. The films were hardened in N2 at 425 °C. Plasma damage is introduced into the films by subjecting them to the following treatments: Etching: 1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Ar/1 0i3 seem N2, 40°C (20 second). Ashing: 400 W/45 mT/100 seem 02, 40 ° C (20 seconds). Attenuator treatment was carried out using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, at 125. (:, 200 ° C and 350 ° C N2 96350.doc -38 - 1358093 each of these films were baked for 1 min. The following results were recorded: Measurement of etching and ashing before etching and ashing after toughening agent treatment Post-dielectric constant (k) 1.83 2.69 1.94 FTIR (CH/SiO ratio) 0.0078 0.0027 0.0054 Destructive strength (MV/cm) 4.51 1.22 3.76 Example 4 Give plasma damage to a commercial by subjecting it to the following treatment in sequence Porous CVD low-k dielectric film: Etching: 40 mT, 1400 W 160 Ar/80 CF4/20 〇2 40 mT, 1400 W, 20 s Ashing: 400 W/45 mT/100 seem 02, 40°C ( Toughening agent treatment was carried out using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, the films were baked at 125t:, 200°C and 350°C N2. Min. The following results were recorded: Measurement value Etching and etching and ashing after toughening agent treatment dielectric constant (k) 2.36 2.76 2.39 FTIR (CH/SiO ratio) 0.02473 0.0149 0.013 Destructive strength (MV/cm 5.06 3.07 4.77 Example 5 Prepared by conventional baking method using 125 ° C, 200 ° C and 35 〇t:

Honeywell International Inc.,Sunnyvale,California購得之 6000A NANOGLASS薄膜。使用 425°C 下之 UV 硬化(3 min) 來代替習知之爐内硬化(60 min)。且藉由使其依序經受以下 處理來賦予其電漿受損: 96350.doc -39- 麵刻:1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Α"100 sccmN2, 40〇C(20秒) 灰化:400 W/45 mT/100 seem 02, 40°C(30秒) 使用27% DMDAS於3-戊酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125°C、20(TC及350°C N2 中將該等薄膜各烘焙1 min。使用UV硬化NANOGLASS作為 介電材料、使用實例2所描述之習知途徑建置銅單鑲嵌圖案 化結構。金屬化(PVD障壁及Cu晶種沉積及Cu電鍍)之前, 用増韌劑塗布一些晶圓,接著在高達35(TC下烘焙。金屬化 之後,將所有樣品在200°C下退火50 min。用聚焦離子束掃 描電子顯微鏡來測定空隙之存在。 記錄了如下結果: a.毯覆式晶圓(Blanket wafer) 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 2.1 2.85 2.25 FTIR(CH/SiO 比) 0.0082 0.0045 0.0075 破壞強度(MV/cm) 5.06 3.07 4.77 b. SLM圖案化晶圓 實例6 藉由使其依序經受以下處理將電漿致損引入6000 A爐内 硬化 NANOGLASS 薄膜,其可自 Honeywell International Inc., Sunnyvale, California購得: 名虫刻:1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Ar/100 sccmN2, 40°C(20秒) 灰化(以下方法之一) 96350.doc -40- 1358093 〇2灰化:400 W/45 mT/100 seem 02, 40°C(2〇 s或 70 s) 或 N2/H2灰化:500 W/45 mT/500 seem N2/125 seem H2 10°C(45 s或 135 s) 使用9% DMDAS於2-庚酮中混合物執行增韌劑處理。旋 轉塗布增韌劑材料後,在125°C、200°C及350°C N2中將該等 薄膜各烘培1 min。 記錄了如- F結果: 灰化類型 k(無增韌劑處理) k(增韌劑處理後) 让值之%減小 02, 20 s 2.98 " 2.36 20.8 〇2, 30 s 『11 — 2.42 22.2 〇2, 70 s 3^3 2.63 25.5 N2/H2 45 s 3M 2.5 17.8 N2/H2 135 s 3.23 2.7 16.4 實例7 藉由使其依序經受以下處理將電漿致損引入6000人爐内 硬化NANOGLASS薄膜: 蝕刻:1000 W/40 mT/l〇 sccm c4F8/200 seem CO/300 seem Ar/100 sccmN2,40〇C(20秒) 灰化(以下方法之一): 要測试在增勃劑處理前隊列時間(queue time)之影響,將 樣品置於周圍條件下歷經1 hr至360 hr之不同時間,接著執 行增韌劑處理。 使用4.5% DMDAS於2-庚酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125。(:、200。(:及35CTC N2 中將該等薄膜各烘焙1 min。 96350.doc 41 記錄了如下結果: Q-時間(hr) k(增韌劑後) 1 2.24 3 2.28 27 2.31 72 2.29 240 2.38 360 2.32 1358093 實例8 藉由使其依序經受以下處理將電漿致損引入6000A爐内 硬化 NANOGLASS 薄膜,其可自 Honeywell International Inc_, Sunnyvale, California購得· 钱刻:1000 W/40 mT/10 seem C4F8/200 seem CO/300 seem6000A NANOGLASS film available from Honeywell International Inc., Sunnyvale, California. UV hardening (3 min) at 425 °C was used instead of the conventional in-furnace hardening (60 min). And the plasma is damaged by subjecting it to the following treatment: 96350.doc -39- face: 1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Α"100 sccmN2, 40〇 C (20 seconds) Ashing: 400 W/45 mT/100 seem 02, 40 ° C (30 seconds) Toughener treatment was carried out using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, the films were each baked at 125 ° C, 20 (TC and 350 ° C N2 for 1 min. Using UV-curing NANOGLASS as the dielectric material, using the conventional route described in Example 2 Copper single damascene patterned structure. Prior to metallization (PVD barrier and Cu seed deposition and Cu plating), some wafers were coated with a toughener, followed by baking at up to 35 (TC). After metallization, all samples were placed. Annealing at 200 ° C for 50 min. The presence of voids was measured by a focused ion beam scanning electron microscope. The following results were recorded: a. Blanket wafer measurement etching and ashing before etching and ashing Dielectric constant (k) after toughening agent treatment 2.1 2.85 2.25 FTIR (CH/SiO ratio) 0.0082 0.0045 0.0075 Destructive strength (MV/cm) 5.06 3.07 4.77 b. SLM patterned wafer example 6 by subjecting it to sequential The following treatment introduces plasma damage into a 6000 A furnace hardened NANOGLASS film available from Honeywell International Inc., Sunnyvale, California: Insects: 1000 W/40 mT/10 seem C4F8/2OO seem CO/300 seem Ar/100 sccmN2, 40°C (20 seconds) (One of the following methods) 96350.doc -40- 1358093 〇2 ashing: 400 W/45 mT/100 seem 02, 40°C (2〇s or 70 s) or N2/H2 ashing: 500 W/45 mT/500 seem N2/125 seem H2 10°C (45 s or 135 s) Toughener treatment is carried out using a mixture of 9% DMDAS in 2-heptanone. After spin coating the toughener material at 125 ° C, 200 The films were baked for 1 min at ° C and 350 ° C in N2. Recorded as - F results: ashing type k (no toughening agent treatment) k (after toughening agent treatment) 02, 20 s 2.98 " 2.36 20.8 〇2, 30 s 『11 — 2.42 22.2 〇2, 70 s 3^3 2.63 25.5 N2/H2 45 s 3M 2.5 17.8 N2/H2 135 s 3.23 2.7 16.4 Example 7 by making It is sequentially subjected to the following treatment to introduce plasma damage into the hardened NANOGLASS film in a 6000-person furnace: Etching: 1000 W/40 mT/l 〇sccm c4F8/200 seem CO/300 seem Ar/100 sccmN2, 40 〇C (20 seconds) Ashing (one of the following methods): To test the effect of the queue time before the booster treatment, place the sample under ambient conditions for a different time from 1 hr to 360 hr, followed by a toughening agent deal with. Toughening agent treatment was carried out using a mixture of 4.5% DMDAS in 2-heptanone. After spin coating the toughener material, at 125. (:, 200. (: and 35CTC N2 each of these films were baked for 1 min. 96350.doc 41 The following results were recorded: Q-time (hr) k (after toughening agent) 1 2.24 3 2.28 27 2.31 72 2.29 240 2.38 360 2.32 1358093 Example 8 A plasma-induced damage is introduced into a 6000A furnace hardened NANOGLASS film by subjecting it to the following treatment, which is available from Honeywell International Inc., Sunnyvale, California. • Money engraving: 1000 W/40 mT /10 seem C4F8/200 seem CO/300 seem

Ar/100 seem N2, 4(TC(20秒)。 灰化(以下方法之一)。 使用1 8% DMDAS於2-庚酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在不同溫度下,將該等薄膜 在烤盤上各烘焙1 min。量測碳恢復作為增韌劑處理所添加 之碳與在電漿致損過程中丟失之碳量的百分比。用FTIR來 量測碳量作為CH(2975 cm·1)峰值與SiO峰值(1055 cm·1)之 比0 記錄了如下結果: 烘焙溫度 % C恢復 K-值 75〇C 66 2.95 10(TC 2.82 125〇C 2.74 150°C 65 2.72 175〇C 136 2.5 200°C 127 2.34 225〇C 127 2.3 96350.doc • 42· 1358093Ar/100 seem N2, 4 (TC (20 sec). Ashing (one of the following methods). Toughening agent treatment was performed using a mixture of 18.8% DMDAS in 2-heptanone. After spin coating the toughener material, The films were baked on the baking tray for 1 min at different temperatures. The carbon recovery was measured as a percentage of the carbon added by the toughening agent treatment and the amount of carbon lost during the plasma damage process. Carbon measurement as the ratio of CH (2975 cm·1) peak to SiO peak (1055 cm·1) 0 The following results were recorded: Baking temperature % C recovery K-value 75 〇 C 66 2.95 10 (TC 2.82 125 〇 C 2.74 150°C 65 2.72 175〇C 136 2.5 200°C 127 2.34 225〇C 127 2.3 96350.doc • 42· 1358093

儘g本發明已參照較佳實施例而得以具體展示並描述, 但彼等普通熟習此項技術者不難瞭解:可進行各種變更及 一丨· _ 2.19 ΤΧΐ Τ2 修改而不背離本發明之精神及範疇。意欲將本申請專利範 圍理解為覆蓋了所揭示之實施例、彼等如上所論述之替代 方法及其所有等效物βThe present invention has been particularly shown and described with reference to the preferred embodiments thereof, but those skilled in the art can readily understand that various changes and modifications can be made without departing from the spirit of the invention. And scope. The scope of the present application is intended to be understood as covering the disclosed embodiments, their alternatives as discussed above, and all equivalents thereof.

96350.doc -43-96350.doc -43-

Claims (1)

1358093 第093129863號專利申請案 中文申請專利範圍替換本(1〇〇年4月) 十、申請專利範圍: 一種用於阻止在基板上之有機矽酸鹽玻璃介電薄膜中形 成應力引發空隙之方法’該有機矽酸鹽玻璃介電薄膜係 經圖案化以於其中形成通道及渠溝,且該有機矽酸鹽玻 璃介電薄膜隨後經受至少一種用以移除至少一部分先前 存在之含碳部分並減小該有機矽酸鹽玻璃介電薄膜之疏 水性的處理,且隨後用金屬填充該等通道及渠溝,且接 著該金屬隨後經受退火處理,該方法包含:在經受至少 一移除先前存在之含碳部分之處理後,但在用金屬填充 該等通道及渠溝之前,將該有機矽酸鹽玻璃介電薄膜與 一定濃度之增韌劑組合物接觸且該接觸所歷經之一段時 間係能有效地將至少一些該等含碳部分恢復至該有機矽 酸鹽玻璃介電薄膜並增加該有機矽酸鹽玻璃介電薄膜之 疏水性。 2. 如請求項1之方法,其中移除至少一部分先前存在之含碳 部分並減小該有機矽酸鹽玻璃介電薄膜之疏水性之處理 包含以下處理中之至少一種:蝕刻劑處理、灰化處理、 濕式剝離處理、清洗處理及PECVD處理。 3. 如請求項1之方法,其甲該有機矽酸鹽玻璃介電薄膜係自 旋塗式破璃材料或化學氣相沉積材料所形成。 4. 如請求項1之方法,其中該增韌劑組合物包含一用於經由 該有機矽酸鹽玻璃介電薄膜之曱矽烷基化使矽烷醇部分 燒基化或芳基化之組份。 5. 如請求項1之方法’其進一步包含移除未反應之增韌劑組 96350-1000422.doc 1358093 合物、反應產物及其混合物之後繼步驟。 6·如清求項1之方法,其進一步包含加熱該增加之疏水性的 有機>5夕酸鹽玻璃介電薄膜之後繼步驟。 如明求項1之方法,其中該有機矽酸鹽玻璃介電薄膜係奈 米多孔的。 8. 如請求们之方法,其中該有機石夕酸鹽玻璃介電薄膜係大 體上無孔的。 9. 如請求項1之方法,其中該增韌劑組合物包含至少一種化 。物’該至少一種化合物具有選自由以下各式組成之群 之式:[-SiR2NR,-]n其中n>2且可為環狀、⑽歐叫、 ㈣⑽、R3SiNR,2、咖⑽,)2、版⑽·);、μ叫、 RxSi(〇H)y . R3Si〇SiR-3 > RxSi(OR-)y . RxSi(〇C〇R')y . RxSiHy、RxSi[〇C(R,)=R”]4 χ及其組合, 其中X係1至3範圍内之整數, y係1至3範圍内之整數以使y=4_x, 各R係獨立選自氫及疏水性有機部分, R'為氫或有機部分,且 R"為烷基或羰基。 10.如請求項1之方法’其中該增動劑組合物包含至少一種化 合物’其係選自由以下化合物組成.之群:乙醯氧基三甲 基石夕炫、乙醯氧基石夕垸、二乙酿氧基石夕燒、三乙酿氧基 :烧、二乙酿氧基二〒基梦院、甲基三乙酿氧基石夕烧、 苯基二乙酿氧基石夕炫、二苯基二乙酿氧基石夕烧、甲基三 乙氧基石夕院、二甲基二乙氧基石夕烧、三Μ乙氧基_、 96350-1000422.doc -2- 1358093 甲基三曱氡基矽烷、二甲基二甲氧基矽烷、三甲基甲氧 基矽烷、甲基三氯矽烷、二甲基二氣矽烷、三甲基氯矽 烷、甲基矽烷、二曱基矽烷、三甲基矽烷'六甲基二矽 氮烷、2-三曱基曱矽烷氧基戊-2-烯-4-酮、η-(三曱基曱矽 烷基)乙醯胺、2-(三曱基曱矽烷基)乙酸、η-(三甲基甲矽 烷基)咪唑、三曱基甲矽烷基丙炔酸酯、三甲基曱矽烷基 (三甲基甲矽烷氧基)-乙酸酯、九甲基三矽氮烷、六甲基 二石夕氧炫、三甲基石夕烧醇、三乙基石夕烧醇、三苯基石夕烧 醇、第三丁基二甲基石夕烧醇、二苯基石夕院二醇、三甲氧 基矽烷、三乙氧基矽烷、三氯矽烷、六曱基環三矽氮烷、 雙二曱胺基二甲基矽烷、雙二乙胺基二甲基矽烷、三(二 曱胺基)甲基矽烷、三(二甲胺基)苯基矽烷、三(二甲胺基) 石夕烧、二甲基甲矽烷基二甲醯胺、二曱基曱矽烷基二乙 醯胺、二曱基甲矽烷基二異氰酸酯、三甲基甲矽烷基三 異氰酸酯、及其組合。 11. 12. 13. 14. 如凊求項1之方法’其中該增韌劑組合物包含二甲基二乙 醯氧基矽烷。 如請求項1之方法’其中該增韌劑組合物包含一溶劑,其 係選自由酮、醚、酯、烴及其組合組成之群。 如1求項1之方法’其中該增韌劑組合物以一選自由液 體、氣相、氣體及電漿組成之群之狀態接觸該有機矽酸 鹽玻璃介電薄膜。 一種用於形成微電子裝置之方法,其包含: a)將有機矽酸鹽玻璃介電薄膜塗布於基板上; 9635〇-l〇〇〇422.d, 1358093 b) 在該有機矽酸鹽玻璃介電薄 θ ^ 得膜中形成通道及渠溝之 圖案’且使該有機石夕酸鹽破璃介雷笼时^ % "罨薄膜經受至少一種用 以移除至少一部分先前存在之含 < 3碳部分並減小該有機矽 酸鹽玻璃介電薄膜之疏水性的處理; c) 將該有機石夕酸鹽玻璃介雷蘯胺你 ;,丨罨溥膜與—定濃度之增韌劑 組合物接觸且該接觸所频之—段時間係能有效增加該 有機矽酸鹽玻璃介電薄膜之疏水性; d) 用金屬填充該等通道及渠溝;及 e)使該金屬經受退火處理。 如請求項14之方法’其中移除至少一部分先前存在之含 碳部分並減小該有機矽酸鹽玻璃介電薄膜之疏水性的處 理包含以下處理中之至少一種:姓刻劑處理、灰化處理、 濕式剝離處理、清洗處理及PECVD處理。 16.如請求項14之方法,其中該增韌劑組合物包含一用於經 由該有機矽酸鹽破璃介電薄膜之甲矽烷基化使矽烷醇烷 基化或芳基化之組份。 17. 如請求項14之方法,其進一步包含移除未反應之增韌劑 組合物、反應產物及其混合物之後繼步驟。 18. 如請求項14之方法,其進一步包含加熱該增加之疏水性 的有機矽酸鹽玻璃介電薄膜之後繼步驟。 19.如清求項14之方法,其中該有機♦酸鹽玻璃介電薄膜係 奈米多孔的。 20.如請求項14之方法,其中該有機矽酸鹽玻璃介電薄膜係 大體上無孔的》 96350-1000422.doc 1358093 21. 如請求項14之方法,其中該增韌劑組合物包含至少一種 化δ物其具有一選自由以下各式組成之群之式: [•SiR2NR-]n 其中 η>2 且可為環狀、R3SiNR,siR3、 (R3Si)3N、R3SiNR,2、R2Si(NR')2、RSi(NR')3、RxSiCly、 RxSi(〇H)y、R3Si〇SiR.3、Rxsi(〇R')y、RxSi(〇c〇R,)y、 RxSiHy、RxSi[〇C(R,)=R"]4.x及其組合, 其中x係1至3範圍内之整數, y係1至3範圍内之整數以使y = 4_χ, 各R係獨立選自氫及疏水性有機部分, R'為氫或有機部分,且 R"為烧基或幾基。 22. 如請求項14之方法,其中該增韌劑組合物包含至少一種 化合物,其係選自由以下化合物組成之群:乙醯氧基三 甲基矽燒、乙醯氧基矽烷、二乙醯氧基矽烷、三乙醯氧 基矽烷、二乙醯氧基二甲基矽烷、甲基三乙醯氧基矽烷、 苯基三乙醯氧基矽烷、二苯基二乙醯氧基矽烷、甲基三 乙氧基矽烷、二f基二乙氧基矽烷、三甲基乙氧基矽烷、 甲基三甲氡基矽烷、二甲基二甲氧基矽烷、三甲基甲氧 基石夕烧、f基二氯矽烧、二甲基二氯石夕院、三甲基氣石夕 烷、甲基矽烷、二曱基矽烷、三曱基矽烷、六甲基二矽 氮烧、2-二甲基甲碎烧氧基戊_2_稀_4-_、n_(三甲基曱發’ 烷基)乙醯胺、2-(三甲基甲矽烷基)乙酸、n_(三甲基曱矽 烧基)咪°坐、二甲基甲石夕烧基丙快酸醋、三甲基甲石夕烧基 (二甲基甲石夕院氧基)-乙酸酯、九甲基三矽氮烧、六甲基 96350-1000422.doc 1358093 一矽氧烷、三甲基矽烷醇、三乙基矽烷醇、三苯基矽烷 醇、第二丁基二甲基矽燒醇、二苯基矽炫二醇三甲氧 基矽烷、三乙氧基矽烷、三氣矽烷、六甲基環三矽氮烷、 雙一甲胺基二甲基矽烷、雙二乙胺基二甲基矽烷三(二 甲胺基)甲基矽烷、三(二甲胺基)苯基矽烷、三(二甲胺基) 石夕烧、二甲基甲石夕炫基二甲酿胺、二甲基甲較基二乙 酿胺—甲基甲石夕炫基二異氛酸醋、三甲基甲石夕院基三 異氰酸醋、及其組合。 23.如請求項14之方法,其中該增韌劑組合物包含二甲基二 乙酿氧基碎院。 24·如請求項14之方法,其中該增物劑組合物包含一溶劑, 其係選自由酮、醚、酯、烴及其組 A如請求項-方法,其中該增_組合成物Z選自由液 體、氣相、氣體及電漿組成之群之狀態接觸該有 鹽玻璃介電薄膜。 •如味求項15之方法,其中該姓刻劑係包含選自由氧、氧、 氫、氮及其組合組成之群之原子、離子及/或基團 。、 27.如請求項15之方法,其中該__—濕式_劑二 包含至少一種選自由以下化合物組成之群之試劑·醒 胺、知、酵胺、胺、三胺、酸、驗及其组合。 28·如請求項14之方法’其中移除至少-部分先前存在之人 碳部分並減小疏水性之處理包在之3 ^ ^ 裡選自 化合物組成之群之試劑所進行之處理:乙醇胺 三乙胺、N,N-二乙基乙二胺、二 一胺、 1甲乙基二胺 '胺、乙二 96350-1000422.doc 1358093 胺四乙酸、有機酸、乙酸、甲酸、四甲基乙酸鐘、硫酸、 似、氫氟酸、氟化録、氫氧化録、氫氧化四甲基錢、 經胺及其組合,其限制條件為該等組合為不互相中和之 試劑。 29. 種由包含以下步驟之方法產製之微電子裝置 a)將有機矽酸鹽玻璃介電薄膜塗布於基板上; b)在該有機料鹽玻璃介電薄財形成通道及渠溝之 圖案’且使該有機料鹽玻璃介電薄膜經受至少—㈣以 移除至少一部分先前存在之含碳 _ . . . ^ 刀並減小該有機矽酸 鹽玻璃介電薄膜之疏水性的處理· c)將該有機矽酸鹽玻璃介電薄犋斑— ,人,、 疋浪度之增韌劑 組合物接觸且該接觸所歷經 故時間係能有效增加該 有機矽酸鹽玻璃介電薄膜之疏水性; d) 用金屬填充該等通道及渠溝;及 e) 使該金屬經受退火處理。 96350-1000422.doc1358093 Patent Application No. 093129863 (Replacement of Chinese Patent Application No. (April, 2010) X. Patent Application Range: A method for preventing stress-induced void formation in an organic tantalate glass dielectric film on a substrate The organic tellurite glass dielectric film is patterned to form channels and trenches therein, and the organic tellurite glass dielectric film is subsequently subjected to at least one to remove at least a portion of the pre-existing carbon-containing portion and a treatment for reducing the hydrophobicity of the organic tellurite glass dielectric film, and then filling the channels and trenches with a metal, and then the metal is subsequently subjected to an annealing process, the method comprising: pre-existing at least one removal After the treatment of the carbonaceous portion, but prior to filling the channels and trenches with metal, the organic tellurite glass dielectric film is contacted with a concentration of the toughener composition and the contact is subjected to a period of time Effectively recovering at least some of the carbonaceous portions to the organic tellurite glass dielectric film and increasing the organic tellurite glass dielectric The hydrophobic membrane. 2. The method of claim 1, wherein the removing at least a portion of the pre-existing carbon-containing portion and reducing the hydrophobicity of the organic tellurite glass dielectric film comprises at least one of the following: etchant treatment, ash Treatment, wet stripping, cleaning, and PECVD. 3. The method of claim 1, wherein the organic tantalate glass dielectric film is formed by a spin-on glass material or a chemical vapor deposition material. 4. The method of claim 1 wherein the toughening agent composition comprises a component for the alkylation or arylation of the stanol moiety via oximation of the organosilicate glass dielectric film. 5. The method of claim 1 which further comprises the step of removing the unreacted toughening agent group 96350-1000422.doc 1358093, the reaction product, and the mixture thereof. 6. The method of claim 1, further comprising the subsequent step of heating the increased hydrophobic organic >5 silicate glass dielectric film. The method of claim 1, wherein the organic tellurite glass dielectric film is nanoporous. 8. The method of claimant, wherein the organosilicate ceramic dielectric film is substantially non-porous. 9. The method of claim 1 wherein the toughening agent composition comprises at least one. The at least one compound has a formula selected from the group consisting of: [-SiR2NR, -]n wherein n > 2 and may be cyclic, (10) urchin, (iv) (10), R3SiNR, 2, coffee (10), 2 , Edition (10)·);, μ, RxSi(〇H)y. R3Si〇SiR-3 > RxSi(OR-)y . RxSi(〇C〇R')y . RxSiHy, RxSi[〇C(R, ) = R"] 4 χ and combinations thereof, wherein X is an integer in the range of 1 to 3, and y is an integer in the range of 1 to 3 such that y = 4_x, each R is independently selected from hydrogen and a hydrophobic organic moiety, R' is a hydrogen or an organic moiety, and R" is an alkyl group or a carbonyl group. 10. The method of claim 1 wherein the activator composition comprises at least one compound selected from the group consisting of:醯oxytrimethyl shi xi xi, acetoxy oxime oxime, diethylene ethoxy oxime, triethyl ethoxy: burning, diethylene oxy ruthenium, methyl triethyl ethoxylate Burning, phenyldiethyl ethoxylate Xixuan, diphenyldiethyl ethoxylate, sparkling methyl triethoxy shixi, dimethyldiethoxy zexi, triterpene ethoxylate, 96350 -1000422.doc -2- 1358093 A Trimethyl decane, dimethyl dimethoxy decane, trimethyl methoxy decane, methyl trichloro decane, dimethyl dioxane, trimethylchlorodecane, methyl decane, dinonyl decane , trimethyldecane 'hexamethyldioxane, 2-trimethyldecynyloxypent-2-en-4-one, η-(tridecyldecylalkyl)acetamide, 2-( Trimethylsulfonylalkyl)acetic acid, η-(trimethylformamidinyl)imidazole, trimethylsulfonylalkylpropiolate, trimethyldecyl (trimethylformamoxy)-acetic acid Ester, nonamethyltriazane, hexamethyldiazepine, trimethyl sulphate, triethyl sulphate, triphenyl sulphate, tert-butyl dimethyl sulphur , diphenyl sylvestre diol, trimethoxy decane, triethoxy decane, trichloro decane, hexamethylcyclotriazane, bis decyl dimethyl decane, bis diethylamino dimethyl Base decane, tris(diamine)methyl decane, tris(dimethylamino)phenyl decane, tris(dimethylamino), dimethyl sulfonyl dimethyl decylamine, dimercapto曱矽alkyldiethylammonium, Dimercaptomethyl decyl diisocyanate, trimethyl methacrylic acid triisocyanate, and combinations thereof 11. 12. 13. 14. The method of claim 1 wherein the toughening agent composition comprises dimethyl bis The method of claim 1 wherein the toughener composition comprises a solvent selected from the group consisting of ketones, ethers, esters, hydrocarbons, and combinations thereof. Wherein the toughener composition contacts the organic tantalate glass dielectric film in a state selected from the group consisting of liquid, gas phase, gas and plasma. A method for forming a microelectronic device, comprising: a) applying an organic tantalate glass dielectric film to a substrate; 9635〇-l〇〇〇422.d, 1358093 b) in the organic tellurite glass Dielectric thin θ ^ to form a pattern of channels and trenches in the film 'and make the organic phosphatite granules when the cage is subjected to at least one to remove at least a portion of the pre-existing content < 3 carbon portion and reduce the hydrophobicity of the organic bismuth silicate glass dielectric film; c) the organic phosphite glass linoleamide; enamel film and a certain concentration of toughening agent The contact of the composition and the frequency of the contact is effective for increasing the hydrophobicity of the organic tantalate glass dielectric film; d) filling the channels and trenches with metal; and e) subjecting the metal to annealing treatment . The method of claim 14 wherein the treatment of removing at least a portion of the pre-existing carbon-containing portion and reducing the hydrophobicity of the organic tantalate glass dielectric film comprises at least one of the following treatments: surname treatment, ashing Treatment, wet stripping treatment, cleaning treatment and PECVD treatment. 16. The method of claim 14 wherein the toughening agent composition comprises a component for alkylating or arylating the stanol by the formazanization of the organosilicated glass dielectric film. 17. The method of claim 14, further comprising the step of removing the unreacted toughening agent composition, the reaction product, and the mixture thereof. 18. The method of claim 14, further comprising the subsequent step of heating the increased hydrophobic organosilicate glass dielectric film. 19. The method of claim 14, wherein the organic acid salt glass dielectric film is nanoporous. 20. The method of claim 14, wherein the organic tellurite glass dielectric film is substantially non-porous. 96350-1000422.doc 1358093. The method of claim 14, wherein the toughening agent composition comprises at least A δ species having a formula selected from the group consisting of: [•SiR2NR-]n wherein η>2 and may be cyclic, R3SiNR, siR3, (R3Si)3N, R3SiNR, 2, R2Si (NR ')2, RSi(NR')3, RxSiCly, RxSi(〇H)y, R3Si〇SiR.3, Rxsi(〇R')y, RxSi(〇c〇R,)y, RxSiHy, RxSi[〇C (R,) = R "] 4.x and combinations thereof, wherein x is an integer in the range of 1 to 3, and y is an integer in the range of 1 to 3 such that y = 4_χ, each R is independently selected from hydrogen and hydrophobic The organic moiety, R' is hydrogen or an organic moiety, and R" is a burnt or a few. 22. The method of claim 14, wherein the toughening agent composition comprises at least one compound selected from the group consisting of ethoxylated trimethyl oxime, ethoxylated decane, diethyl hydrazine Oxy decane, triethoxy decane, diethyl methoxy dimethyl decane, methyl triethoxy decane, phenyl triethoxy decane, diphenyl dimethyl decyl oxane, A Triethoxy decane, bis-f-diethoxy decane, trimethyl ethoxy decane, methyl trimethyl decyl decane, dimethyl dimethoxy decane, trimethyl methoxy sulphur, f Dichlorohydrazine, dimethyl dichlorite, trimethyl gas sulfoxide, methyl decane, dinonyl decane, tridecyl decane, hexamethyl diazepane, 2-dimethyl A sulphonate oxypenta-2_dilute_4-_, n_(trimethyl fluorene 'alkyl) acetamidine, 2-(trimethylformamidine)acetic acid, n_(trimethyl fluorene Base) imi, dimethyl methacrylate, propylene, vinegar, trimethylmethanoate (dimethyl ketone), acetate, hexamethyltriazine , hexamethyl 96350-1000422.doc 1358093 monooxane, trimethylstanol, triethyl stanol, triphenyl decyl alcohol, second butyl dimethyl decyl alcohol, diphenyl fluorene diol trimethoxy decane, triethoxy Base decane, trioxane, hexamethylcyclotriazane, bis-methylaminodimethyl decane, bis diethylamino dimethyl decane tris(dimethylamino)methyl decane, tris (dimethyl Amino)phenyl decane, tris(dimethylamino), tartar, dimethylglycosyl dimethylamine, dimethylformyldiethylamine,methylmethanthine Anisophthalic acid vinegar, trimethyl methacrylate, triacetate, and combinations thereof. 23. The method of claim 14, wherein the toughening agent composition comprises dimethyldiethoxylate. The method of claim 14, wherein the extender composition comprises a solvent selected from the group consisting of a ketone, an ether, an ester, a hydrocarbon, and a group A thereof, such as a claim-method, wherein the Z-combination product is selected The state of the group of free liquid, gas phase, gas, and plasma contacts the salted glass dielectric film. The method of claim 15, wherein the surname comprises an atom, an ion and/or a group selected from the group consisting of oxygen, oxygen, hydrogen, nitrogen, and combinations thereof. 27. The method of claim 15, wherein the __-wet_agent 2 comprises at least one reagent selected from the group consisting of: awake amine, amide, amine, amine, triamine, acid, and Its combination. 28. The method of claim 14, wherein the treatment of removing at least a portion of the pre-existing human carbon portion and reducing the hydrophobicity is carried out in a reagent selected from the group consisting of: a compound of the compound: ethanolamine III Ethylamine, N,N-diethylethylenediamine, diamine, 1 methyldiamine'amine, Ethylene 96350-1000422.doc 1358093 Aminetetraacetic acid, organic acid, acetic acid, formic acid, tetramethyl acetic acid , sulfuric acid, sulphuric acid, hydrofluoric acid, fluorinated, hydrogen peroxide, tetramethylammonium hydroxide, amines and combinations thereof, with the limitation that the combinations are agents which are not mutually neutralized. 29. A microelectronic device produced by the method comprising the steps of: a) coating an organic tantalate glass dielectric film on a substrate; b) forming a channel and a groove pattern in the organic salt glass And subjecting the organic salt glass dielectric film to at least - (d) to remove at least a portion of the pre-existing carbonaceous film and reducing the hydrophobicity of the organic tantalate glass dielectric film. Contacting the organic bismuth silicate glass dielectric thin plaque-, human, and turbulent toughening agent composition, and the contact time of the contact can effectively increase the hydrophobicity of the organic bismuth oxide glass dielectric film d) filling the channels and trenches with metal; and e) subjecting the metal to annealing. 96350-1000422.doc
TW093129863A 2003-10-08 2004-10-01 Repairing damage to low-k dielectric materials usi TWI358093B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US10/940,682 US7709371B2 (en) 2003-01-25 2004-09-15 Repairing damage to low-k dielectric materials using silylating agents

Publications (2)

Publication Number Publication Date
TW200531183A TW200531183A (en) 2005-09-16
TWI358093B true TWI358093B (en) 2012-02-11

Family

ID=34555813

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093129863A TWI358093B (en) 2003-10-08 2004-10-01 Repairing damage to low-k dielectric materials usi

Country Status (3)

Country Link
EP (1) EP1676303A2 (en)
KR (1) KR101064336B1 (en)
TW (1) TWI358093B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102137716B1 (en) * 2017-03-27 2020-07-24 가부시키가이샤 아루박 Method for manufacturing electronic components

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
WO1999052136A1 (en) * 1998-04-01 1999-10-14 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica

Also Published As

Publication number Publication date
KR20070037562A (en) 2007-04-05
KR101064336B1 (en) 2011-09-16
EP1676303A2 (en) 2006-07-05
TW200531183A (en) 2005-09-16

Similar Documents

Publication Publication Date Title
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
TWI380968B (en) Treating agent materials
CN1839468B (en) Repairing damage to low-K dielectric materials using silylating agents
JP5775116B2 (en) Method for removing carbon from organosilicate materials
US7678712B2 (en) Vapor phase treatment of dielectric materials
JP5307963B2 (en) Method for restoring hydrophobicity in dielectric films and materials
JP4874614B2 (en) Porous low dielectric constant compositions and methods for making and using the same
TW200845212A (en) Activated chemical process for enhancing material properties of dielectric films
US20050116346A1 (en) Low dielectric materials and methods for making same
TWI326891B (en) Material for forming adhesion reinforcing layer, adhesion reinforcing layer, semiconductor device, and manufacturing method thereof
JP5161571B2 (en) Treatment material
KR20080018809A (en) Semiconductor device manufacturing method
WO2006054996A1 (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
TWI415966B (en) Low k precursors providing superior integration attributes
TWI358093B (en) Repairing damage to low-k dielectric materials usi
JP2008210970A (en) Insulating film material, multilayer wiring and its manufacturing method, and method for manufacturing semiconductor device
Beaudoin et al. An update on low-k dielectrics
Le et al. Wet Clean Applications in Porous Low‐k Patterning Processes
KR20070090199A (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
Jielin Study of TMCTS based PECVD carbon-doped low dielectric constant material

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees