WO2006054996A1 - Selective removal chemistries for semiconductor applications, methods of production and uses thereof - Google Patents

Selective removal chemistries for semiconductor applications, methods of production and uses thereof Download PDF

Info

Publication number
WO2006054996A1
WO2006054996A1 PCT/US2004/038761 US2004038761W WO2006054996A1 WO 2006054996 A1 WO2006054996 A1 WO 2006054996A1 US 2004038761 W US2004038761 W US 2004038761W WO 2006054996 A1 WO2006054996 A1 WO 2006054996A1
Authority
WO
WIPO (PCT)
Prior art keywords
solution
removal chemistry
solvent
low
constituent
Prior art date
Application number
PCT/US2004/038761
Other languages
French (fr)
Inventor
Deborah L. Yellowaga
Ben Palmer
John S. Starzynski
John A. Mcfarland
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Priority to PCT/US2004/038761 priority Critical patent/WO2006054996A1/en
Priority to EP04811473A priority patent/EP1824945A4/en
Priority to CNA2004800448370A priority patent/CN101163776A/en
Priority to JP2007543000A priority patent/JP2008521246A/en
Priority to US11/352,124 priority patent/US20060255315A1/en
Priority to TW095116492A priority patent/TW200731390A/en
Publication of WO2006054996A1 publication Critical patent/WO2006054996A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/004Surface-active compounds containing F
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • Such methods include providing the constituents of the removal chemistry formulation, blending the constituents to form the formulation and applying the formulation to a surface or substrate.
  • the formulation may be produced in situ (directly on the surface) or may be formed before application to the surface.
  • methods are described herein for producing a removal chemistry solution that include at least one gaseous low H 2 O content fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one low H 2 O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
  • polar means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • non-polar means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together.
  • Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosine, isobut
  • hydro gensilsesquioxane polymers which have the formula (HSiO L5 ) ⁇ , where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin- on glass materials additionally include organohydridosiloxane polymers of the general formula (H 0-1 .oSi0 1 . 5-2 . 0 ) n (R() -1 .oSi0 1 . 5 - 2 .

Abstract

Removal chemistry solutions are described herein that include at least one low H2O content fluorine-based constituent and at least one solvent or solvent mixture. Removal chemistry solutions also include: hydrogen fluoride gas, and at least one solvent or solvent mixture. Methods are described herein for producing removal chemistry solutions that include providing at least one gaseous low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution. Methods for producing removal chemistry solutions are also described that include: providing at least one low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and blending the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution. Additional methods of forming a removal chemistry solution include: providing at least one gaseous anhydrous fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one anhydrous fluorine-based constituent into the at least one solvent or solvent mixture to form the solution. Also, methods of forming a removal chemistry solution, as described herein include: providing hydrogen fluoride gas, providing at least one solvent or solvent mixture, and bubbling the hydrogen fluoride gas into the at least one solvent or solvent mixture to form the solution.

Description

SELECTIVE REMOVAL CHEMISTRIES FOR SEMICONDUCTOR APPLICATIONS, METHODS OF PRODUCTION AND USES THEREOF
FIELD OF THE SUBJECT MATTER The field of the subject matter is selective removal chemistries for semiconductor, electronic and related applications.
BACKGROUND
To meet the requirements for faster performance, the characteristic dimensions of features of integrated circuit devices have continued to decrease. Manufacturing of devices with smaller feature sizes introduces new challenges in many of the processes conventionally used in semiconductor fabrication. Dual damascene patterning and via first trench last (VFTL) copper dual damascene patterning through a low dielectric constant (less than about 3) material or ultra low dielectric constant (less than about 2) material is one of these manufacturing methods. Two examples of dual damascene patterning and structures are shown in US Patent Publications 20040152296 and 20040150012 - both assigned to Texas Instruments. In the manufacture of MEMS (microelectromechanical systems) devices, each continuous or patterned layer comprises deleterious residues that, if left even partially intact, will contribute to the breakdown and ultimately the failure of any component that comprises that layer. Therefore, it is imperative that any deleterious residues produced during the manufacture of semiconductor, MEMS and other electronic devices be removed effectively and completely. In addition, where one or more layers need to be etched, the etch pattern should be precise and the removal chemistry solution used should be selective to the layer being etched. Prior Art Figures 1A-1C show ash residues in a via clean (Prior Art Figure IA), a trench clean (Prior Art Figure IB) and an etch stop clean (Prior Art Figure 1C) application, hi Prior Art Figure IA, shows a layered material 100 that comprises a polymer sidewall 110 and ash residues 120. Prior Art Figure IB shows a layered material 200 that comprises a polymer sidewall 210, ash residues 220, a via fence 230 and a via fill 240. The via fence 230 and/or via fill 240 may or may not be present depending on the integration scheme. Prior Art Figure 1C shows a layered material 300 that comprises a polymer sidewall 310, ash residues 320, a via fence 330 and CuOx and/or CuFx residues 350. Prior Art Figures 2A-2C show etch residues, including sidewall polymers, antireflective coatings and other residues, in a via clean (Figure 2A), a trench clean (Figure 2B) and an etch stop clean (Figure 2C) application. In Prior Art Figure 2A, shows a layered material 400 that comprises a polymer sidewall 410, a photoresist layer 420 and an antireflective coating layer 430. Prior Art Figure 2B shows a layered material 500 that comprises a polymer sidewall 510, antireflective coating 520, a via fill 525, a via fence 530, which may or may not be present depending on the integration scheme, and a photoresist 540. The via fence 230 and/or via fill 240 may or may not be present depending on the integration scheme. Prior Art Figure 2C shows a layered material 600 that comprises a polymer sidewall 610, a via fence 630 and CuOx and/or CuFx residues 650. Prior Art Figure 3 shows a layered material 700 that comprises a UV exposed and developed photoresist 705, a BARC (Bottom Anti-Reflective Coating) 710, wherein the BARC, which may be organic or inorganic, needs to be removed without impacting critical dimensions.
The technique of bulk residue removal by means of a selective chemical etching and in some cases selective chemical cleaning is a key step in the manufacture of many semiconductor and electronic devices, including those mentioned. The goal in successful selective etching and selective cleaning steps is to remove the residue without removing or compromising the desirable components, hi some cases, the "removal" of unwanted materials or residues includes reacting those unwanted materials with solutions or compounds in order to convert those unwanted materials into materials that are not harmful or have negative impact on the electronic or semiconductor applications or components. Each class of semiconductor and electronic materials comprise different chemistries that should be considering when developing the removal chemistry and in several cases, these semiconductor and electronic materials have also been modified to increase removal selectivity, such as the etch selectivity or the cleaning selectivity. If the chemistry of the sacrificial layer cannot be modified in order to improve the removal selectivity, then removal chemistry solutions should be developed to specifically react with the chemistry of the sacrificial material. However as mentioned, not only does the chemistry of the sacrificial material need to be evaluated and considered, but also the chemistry of the surrounding and/or adjacent layers should be considered, because in many instances, the chemistry that will remove the sacrificial layer or layers will also remove or weaken the surrounding or adjacent layers.
Several of the goals that have yet to be addressed in a selective removal chemistry solution are the following: a) the solution constituents should be able to be tailored to be a selective etching solution and/or a selective cleaning solution; b) the solution should be effective in a low H2O content environment or an anhydrous environment; c) should be able to selectively remove deleterious materials and compositions from a surface without removing the layers and materials that are crucial to product success; and d) can etch and/or clean effectively at the center of the wafer or surface and at the edge of the wafer or surface.
European Patent No. 887,323 teaches an etching and cleaning solution that comprises hydrofluoric acid and ammonium fluoride in propylene carbonate. This etching solution is specifically designed to etch silicate glass and silicon dioxide. Based on the chemistry disclosed, it appears that this combination of constituents is selective to silicate glass and silicon dioxide. JP 9235619 and US Issued Patent 5,476,816 uses a similar solution replacing propylene carbonate with ethylene glycol in order to remove insulating coatings. JP 10189722 uses a similar solution as JP 9235619 except water is also added and the solution is used to clean oxides from a surface. JP 8222628 and US Issued Patent 3,979,241 use an etching solution of ammonium fluoride and ethylene glycol to remove insulating coatings, and JP 1125831 uses this same blend at a different concentration to remove silicon-based compounds. US Issued Patents 6,090,721 and 5,939,336 blends ammonium fluoride, propylene glycol and water to etch metal-containing etch residues from silicon containing substrates. US Issued Patent 5,478,436 uses ammonium fluoride and ethylene glycol to remove metal-based contaminants from a silicon surface. Although many of these solutions can be tailored to be a selective removal chemistry solution; can be effective in low H2O content or anhydrous environments; and can etch and/or clean effectively at the center of the wafer or surface and at the edge of the wafer or surface, none of these compounds can selectively remove deleterious materials from a surface without substantially etching and/or removing necessary silicon-based compounds and/or metal-based layers and compounds. Therefore, it would be desirable to form selective removal chemistry solutions that can do at least one of the following: a) can be tailored to be a selective etching solution and/or a selective cleaning solution; b) can be effective in both aqueous and non-aqueous environments; c) can contain at least one low H2O content and/or anhydrous component; d) can be anhydrous or have a low H2O content; e) can etch and/or clean effectively at the center of the wafer and at the edge of the wafer and at the same time can selectively etch polymeric compositions from a surface without significantly or meaningfully etching silicon-based compounds or metal-based layers and compounds; and f) can etch and/or clean effectively surfaces, wherein the solutions are selective to any sacrificial layer and/or modified sacrificial layer in order to advance the production of layered materials, electronic components and semiconductor components.
SUMMARY OF THE SUBJECT MATTER
Removal chemistry solutions are described herein that include at least one low H2O content fluorine-based constituent and at least one solvent or solvent mixture. Removal chemistry solutions also include: hydrogen fluoride gas, and at least one solvent or solvent mixture.
Methods are described herein for producing removal chemistry solutions that include providing at least one gaseous low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
Methods for producing removal chemistry solutions are also described that include: providing at least one low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and blending the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
Additional methods of forming a removal chemistry solution include: providing at least one gaseous anhydrous fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one anhydrous fluorine-based constituent into the at least one solvent or solvent mixture to form the solution. Also, methods of forming a removal chemistry solution, as described herein include: providing hydrogen fluoride gas, providing at least one solvent or solvent mixture, and bubbling the hydrogen fluoride gas into the at least one solvent or solvent mixture to form the solution.
BRIEF DESCRIPTION OF THE FIGURES
Prior Art Figures IA- 1C show ash residues in a via clean (Figure IA), a trench clean (Figure IB) and an etch stop clean (Figure 1C) application.
Prior Art Figures 2A-2C show etch residues in a via clean (Figure 2A), a trench clean (Figure 2B) and an etch stop clean (Figure 2C) application.
Prior Art Figure 3 shows a layered material that comprises an organic BARC (Bottom Anti-Reflective Coating), wherein the organic BARC needs to be removed without impacting critical dimensions.
DETAILED DESCRIPTION
In order to achieve the goals previously described, removal chemistry solutions have been developed that do at least one of the following: a) can be tailored to be a selective etching solution and/or a selective cleaning solution; b) can be effective in both aqueous and non-aqueous environments; c) can contain at least one low H2O content and/or anhydrous component; d) can be anhydrous or have a low H2O content; e) can etch and/or clean effectively at the center of the wafer and at the edge of the wafer and at the same time can selectively etch polymeric compositions from a surface without significantly or meaningfully etching silicon-based compounds or metal-based layers and compounds; and f) can etch and/or clean effectively surfaces, wherein the solutions are selective to any sacrificial layer and/or modified sacrificial layer in order to advance the production of layered materials, electronic components and semiconductor components.
Removal chemistry solutions, as described herein, include at least one low H2O content fluorine-based constituent, and at least one solvent or solvent mixture. As discussed earlier, removal chemistry solutions may be used as selective etching solutions and/or selective cleaning solutions depending on the application and the constituents utilized.
Methods of forming and uses of these removal chemistries are also contemplated and described herein. Such methods include providing the constituents of the removal chemistry formulation, blending the constituents to form the formulation and applying the formulation to a surface or substrate. In some embodiments, the formulation may be produced in situ (directly on the surface) or may be formed before application to the surface. Specifically, methods are described herein for producing a removal chemistry solution that include at least one gaseous low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
The removal chemistry solutions may be in aqueous or non-aqueous environments.
As used herein, the term "environment" means that environment in the solution containing the at least one low H2O content fluorine-based constituent and the at least one solvent or solvent mixture. The term "environment" does not mean the environment surrounding the solution, such as the environment present in the lab or in the building. For example, a non-aqueous environment means that the solution is non-aqueous and does not refer to the overall humidity level of the air in the lab or building.
Contemplated removal chemistry solutions comprise at least one low H2O content fluorine-based constituent. As used herein, the phrase "low H2O content" means that the constituent comprises less than about 10% water by volume. In some embodiments, the at least one low H2O content fluorine-based constituent comprises less than about 5% water by volume. In other embodiments, the at least one low H2O content fluorine-based constituent comprises less than about 2.5% water by volume. In yet other embodiments, the at least one low H2O content fluorine-based constituent comprises less than about 1% water by volume. For some embodiments, the at least one low H2O content fluorine-based constituent comprises less than about 0.5% water by volume. And in other embodiments, the at least one low H2O content fluorine-based constituent is anhydrous.
This constituent may be added in any suitable manner, including bubbling a gas comprising the low H2O content fluorine-based constituent into the at least one solvent or solvent mixture or blending the low H2O content fluorine-based constituent into the at least one solvent or solvent mixture. In one contemplated embodiment, anhydrous hydrogen fluoride gas is bubbled into desired solvent or mixture of solvents. It has been surprisingly discovered that the introduction of low H2O content and/or anhydrous fluorine-based constituents into at least one solvent or solvent mixture allows the user to control water content of the final etching and/or cleaning solution and also provides superior etching and/or cleaning properties for semiconductor and electronic applications.
The low H2O content fluorine-based constituents may be present in solution in an amount less than about 70% by weight. In some embodiments, the low H2O content fluorine-based constituents are present in solution in an amount from about 0.005% to about 70% by weight, hi other embodiments, the low H2O content fluorine-based constituents are present in solution in an amount from about 0.005% to about 45% by weight. Li yet other embodiments, the low H2O content fluorine-based constituents are present in solution in an amount from about 0.005% to about 20% by weight. And in some embodiments, the low H2O content fluorine-based constituents are present in solution in an amount from about 0.005% to about 5% by weight. The low H2O content fluorine-based constituent may comprise any suitable fluoride source, such as hydrogen fluoride, ammonium fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, tetraethylammonium fluoride, benzyltrimethylammonium fluoride, pyridine hydrogen fluoride, ammonium bifluoride or combinations thereof.
The low H2O content fluorine-based constituent is added to at least one solvent or solvent mixture. Contemplated solvents include any suitable pure or mixture of organic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. As used herein, the term "pure" means that component that has a constant composition. For example, pure water is composed solely of H2O. As used herein, the term "mixture" means that component that is not pure, including salt water. As used herein, the term "polar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As used herein, the term "non-polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. One of ordinary skill in the art of chemistry and etching solutions will know which solvents are non-polar and which solvents are clearly polar in nature.
The solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents. Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents. Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together. Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosine, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof. The solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, diethyl ketone, methyl ethyl ketone and the like, alcohols, esters, ethers and amines. Other Contemplated solvents include propylene carbonate, butylene carbonate, ethylene carbonate, gamma-butyrolactone, propylene glycol, ethyl lactate, propylene glycol monomethyl ether acetate or a combination thereof. In yet other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
The at least one solvent or solvent mixture may those solvents that contain nitrogen atoms, phosphorus atoms, sulfur atoms or a combination thereof, such as N-methyl-2- pyrrolidone, N,N-dimethylacetamide, dimethyl sulfoxide, pyridine or a combination thereof. Both the etching and the cleaning solutions contemplated herein also utilize a compatible solvent constituent.
Solvents and solvent mixtures may be present in solution in an amount less than about 99.5% by weight. In some embodiments, the solvents or solvent mixtures may be present in solution in an amount from about 30% to about 99.5% by weight.
The solvents used herein may comprise any suitable impurity level, such as less than about 1 ppm, less than about 100 ppb, less than about 10 ppb, less than about 1 ppb, less than about 100 ppt, less than about 10 ppt and in some cases, less than about 1 ppt. These solvents may be purchased having impurity levels that are appropriate for use in these contemplated applications or may need to be further purified to remove additional impurities and to reach the less than about 10 ppb, less than about 1 ppb, less than about 100 ppt or lower levels that are becoming more desirable in the art of etching and cleaning.
As mentioned, contemplated methods for producing removal chemistry solutions include providing at least one gaseous low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution. Other contemplated methods include providing at least one low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and blending the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
The at least one low H2O content fluorine-based constituent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein may be provided by any suitable method, including a) buying at least some of at least one low H2O content fluorine-based constituent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein from a supplier; b) preparing or producing at least some of the at least one low H2O content fluorine-based constituent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals provided by another source and/or c) preparing or producing at least some of the at least one low H2O content fluorine-based constituent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals also produced or provided in house or at the location.
Once the constituents are provided, the at least one low H2O content fluorine-based constituent is added to the at least one solvent or solvent mixture to form the removal chemistry solution. In one contemplated embodiment, HF(g) is bubbled into the at least one solvent or solvent mixture until desired weight percent (wt %) concentration is reached, which may include the saturation point of HF(g) in the solvent(s). Alternately, hydrogen fluoride gas can be gassed into a first solvent, and then another solvent or solvent mixture may be dissolved into the first solvent post HF(g) addition.
Additional components may be added to the at least one solvent or solvent mixture, the at least one low H2O content fluorine-based constituent and/or the removal chemistry solutions produced initially. For example, it may be desirable to dissolve into the solvent constituents components that are nitrogen-containing species, including chelators or NH3. Some of these components are solids at ambient conditions such as amine chelators (e.g. hexamethylenetetramine, EDTA), and when utilizing these components, unique amine-HF adducts may be formed during the anhydrous hydrogen fluoride gas addition. Water may also be an additional components that is desirable in contemplated solutions. It is contemplated that water, as an additional component, be present in solutions described herein in a weight ratio to hydrogen fluoride of less than 9:10.
Chelating agents, such as an organic acid (acetic acid, citric acid, oxalic acid, tartaric acid, malic acid, maleic acid, lactic acid), an amine (hexamethylenetetramine, triethanolamine, nitrilotriacetic acid, tris(2-pyridylmethyl)amine, EDTA), phosphonates, such as diamyl amylphosphonate, bis(2-chloroethyl) methyl phosphonate, dibutyl butylphosphonate, diethyl benzylphosphonate, nitrilotris(methylene)triphosphonic acid, hydroxyethylidenediphosphonic acid, sulfonic acid, such as 3-(N- tris[hydroxymethyl]methylamine)-2-hydroxypropanesulfonic acid, 3([ 1 , 1 -dimethyl-2- hydroxyethyl)amine]-2-hydroxypropanesulfonic acid or combinations thereof of any of the above chelating agents may also be added to the at least one solvent or solvent mixture, the at least one low H2O content fluorine-based constituent and/or the removal chemistry solutions produced initially The chelator may be dissolved directly into the first solvent or solvent mixture pre or post low H2O content fluorine-based constituent (such as HF(g)) addition, or if the chelator has low solubility in the first solvent or solvent mixture, can first be dissolved in an appropriate co-solvent prior to addition to first solvent or solvent mixture. In some embodiments, chelating agents comprise metal chelating agents. As contemplated herein, the at least one chelating agent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one chelating agent may be present in solution in an amount from about 0.001% to about 20% by weight.
Oxidizing agents, such as hydrogen peroxide (aq), ozone (bubbled), urea hydrogen peroxide, benzoyl peroxide, peroxyacetic acid (and halogenated peroxyacetic acids), peroxybenzoic acid, and other organic peroxides may also be added to the at least one solvent or solvent mixture, the at least one low H2O content fluorine-based constituent and/or the removal chemistry solutions produced initially. The oxidizing agent may be dissolved directly into the first solvent or solvent mixture pre or post low H2O content fluorine-based constituent (such as HF(g)) addition, or if the oxidizing agent has low solubility in the first solvent or solvent mixture, can first be dissolved in an appropriate co- solvent prior to addition to first solvent or solvent mixture. It is contemplated that some of the oxidizing agents may be anhydrous. As contemplated herein, the at least one oxidizing agent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one oxidizing agent may be present in solution in an amount from about 0.001% to about 20% by weight.
A surfactant may be added to the at least one solvent or solvent mixture, the at least one low H2O content fluorine-based constituent and/or the removal chemistry solutions produced initially to lower surface tension. As used herein, the term "surfactant" means any compound that reduces the surface tension when dissolved in water or water solutions, or which reduces interfacial tension between two liquids, or between a liquid and a solid. Contemplated surfactants may include at least one anionic surfactant, cationic surfactant, non-ionic surfactant, Zwitterionic surfactant or a combination thereof. The surfactant may be dissolved directly into the first solvent or solvent mixture pre or post low H2O content fluorine-based constituent (such as HF(g)) addition, or if the surfactant has low solubility in the first solvent or solvent mixture, can first be dissolved in an appropriate co-solvent prior to addition to first solvent or solvent mixture. Contemplated surfactants may include: sulfonates such as dodecylbenzene sulfonate, tetrapropylenebenzene sulfonate, dodecylbenzene sulfonate, a fluorinated anionic surfactant such as Fluorad FC-93, and L-18691 (3M), fluorinated nonionic surfactants such as FC-4430 (3M), FC-4432 (3M), and L- 18242 (3M), quaternary amines, such as dodecyltrimethylammonium bromide or cetyltrimethylammonium bromide, alkyl phenoxy polyethylene oxide alcohols, alkyl phenoxy polyglycidols, acetylinic alcohols, p'olyglycol ethers such as Tergitol TMN -6 (Dow) and Tergitol minifoam 2x (Dow), polyoxyethylene fatty ethers such as Brij-30 (Aldrich), Brij-35 (Aldrich), Brij-58 (Aldrich), Brij-72 (Aldrich), Brij-76 (Aldrich), Brij-78 (Aldrich), Brij-98 (Aldrich), and Brij-700 (Aldrich), betaines, sulfobetaines, such as cocoamidopropyl betaine, and synthetic phospholipids, such as dioctanoylphosphatidylcholine and lecithin and combinations thereof. As contemplated herein, the at least one surfactant may be present in solution in an amount less than about 5% by weight. In some embodiments, the at least one surfactant may be present in solution in an amount from about 0.001% to about 5% by weight.
Components that can provide an additional low H2O content fluoride source, such as ammonium fluoride, hydrogen fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, tetraethylammonium fluoride, benzyltrimethylammonium fluoride, pyridine hydrogen fluoride, ammonium bifluoride or combinations thereof may also be added to the at least one solvent or solvent mixture, the at least one low H2O content fluorine-based constituent and/or the removal chemistry solutions produced initially. The additional fluoride source may be dissolved directly into the first solvent or the solvent mixture pre or post low H2O content fluorine-based constituent (such as HF(g)) addition, or if the additional fluoride source has low solubility in the first solvent or the solvent mixture, can first be dissolved in an appropriate co-solvent prior to addition to the first solvent or the solvent mixture. As contemplated herein, the at least one low H2O content fluoride source may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one anhydrous fluoride source may be present in solution in an amount from about 0.001% to about 20% by weight.
As mentioned, once the at least one low H2O content fluorine-based constituent and the at least one solvent or solvent mixture constituent are provided, they are blended to form a solution, wherein the solution constituents are at a suitable concentration to etch and/or clean sacrificial layers, modified sacrificial layers and/or patterns of both of these compositions from a surface without significantly reacting with any adjacent and/or corresponding layers, such as dielectric layers, hard mask layers, metal layers, etc. The removal chemistry solutions contemplated herein can be custom blended for specific applications; however, it is contemplated that the process of custom blending does not require undue experimentation once the disclosure herein, including the stated goals, is understood by one of ordinary skill in the art of etching solutions for electronic and semiconductor applications.
The removal chemistry solution may be applied to a semiconductor wafer post photoresist deposition (may be pre or post lithography) for wafer rework purposes, or after etch/plasma treatment (for post etch/post ash residue removal) in either a single wafer or batch processing tool for a period of time between about 15 seconds and about 90 minutes. Processing temperature may be from about 2O0C up to about 8O0C. The wafer may be dipped into solution once and held for a particular time period or dipped multiple times, may be rinsed by the solution, may have the solution applied in a methodical patterned form, may be masked and then rinsed by the solution, etc. The removal chemistry solution may also be held at a particular temperature which optimizes the removal abilities of the solution or may be varied with respect to temperature depending on the wafer or surface. The term "varied" is used herein with respect to temperature to mean that the solution temperature may be varied while the wafer is being processed or may be varied from wafer to wafer depending on the extent of residue that needs to be removed, hi some contemplated embodiments, the temperature of the removal chemistry solution is held at less than about 8O0C. In other contemplated embodiments, the temperature of the removal chemistry solution is held at less than about 5O0C. In yet other contemplated embodiments, the temperature of the removal chemistry solution is held at about 3O0C. In a single wafer tool, removal chemistry solutions may also be applied as a puddle on a stationary wafer which is then rotated at a set speed. Alternately, the removal chemistry solution may be applied as a spray to a wafer that is rotating, either with dispensing occurring at the center of the wafer only, or having a dispense head that moves from the center position to the edge of the wafer, or having multiple fixed dispense heads that are spaced evenly from center to edge of wafer. For batch processing wafers are immersed in a tank of removal chemistry solution, and turbulence is created with agitation, ultrasonics/megasonics and/or air bubbling.
Samples may be pretreated before application of removal chemistry solution. Pretreatment can include applying a liquid or vapor to the wafer surface to improve wetting when the removal chemistry solution is applied. Also pretreatment may include application of liquid or vapor to the wafer surface to chemically modify the surface to increase effectiveness/improve selectivity of removal chemistry solution. Equipment for several of these processes may be found at the following websites: htto://www.sez.corn/SEZ+Mernet/HeaderNavigatiori/Products/SmgleWaferTools/SEZ+20 3 /main 203.htm, http://www.amat.com/products/oasis clean.html, and htto://www.tel.com/eng/ρroducts/spe/csuwz.htm. Wafers and layered materials contemplated herein comprise those wafers and layered materials that are utilized or considered to be utilized in semiconductor or electronic applications, such as dual damascene structures, and comprise at least one layer of material. Surfaces contemplated herein may comprise any desirable substantially solid material, such as a substrate, wafer or other suitable surface. Particularly desirable substrate layers would comprise films, organic polymer, inorganic polymer, glass, ceramic, plastic, metal or coated metal, or composite material. Surface and/or substrate layers comprise at least one layer and in some instances comprise a plurality of layers. In other embodiments, the substrate comprises a material common in the integrated circuit industries as well as the packaging and circuit board industries such as silicon, copper, glass, and another polymer. Suitable surfaces contemplated herein may also include another previously formed layered stack, other layered component, or other component altogether. An example of this may be where a dielectric material and CVD barrier layer are first laid down as a layered stack - which is considered the "surface" for the subsequently spun-on layered component.
At least one layer may be coupled to the surface or substrate creating a multilayered stack. As used herein, the term "coupled" means that the surface and layer or two layers are physically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction. Also, as used herein, the term coupled is meant to encompass a situation where the surface and layer or two layers are directly attached to one another, but the term is also meant to encompass the situation where the surface and the layer or plurality of layers are coupled to one another indirectly — such as the case where there's an adhesion promoter layer between the surface and layer or where there's another layer altogether between the surface and layer or plurality of layers.
Contemplated dielectric and low dielectric materials that may be used on wafers and layered materials comprise inorganic-based compounds, such as silicon-based disclosed in commonly assigned US Patent 6,143,855 and pending US Serial No. 10/078919 filed February 19, 2002; (for example Honeywell NANOGLASS® and
HOSP® products), gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof, and organic-based compounds, such as polyethers, polyarylene ethers disclosed in commonly assigned US Patent 6,124,421 (such as Honeywell FLARE™ product), polyimides, polyesters and adamantane-based or cage- based compounds disclosed in commonly assigned WO 01/78110 and WO 01/08308 (such as Honeywell GX-3™ product). The dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, rolling the material on to the surface, dripping the material on to the surface, and/or spreading the material on to the surface.
Examples of silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached. Examples of siloxane polymers and blockpolymers include hydrogensiloxane polymers of the general formula (H0-1.0SiO1.5-2.0)x and hydro gensilsesquioxane polymers, which have the formula (HSiO L5)χ, where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Spin- on glass materials additionally include organohydridosiloxane polymers of the general formula (H0-1.oSi01.5-2.0)n(R()-1.oSi01.5-2.0)iTb and organohydridosilsesquioxane polymers of the general formula (HSiOLs)n(RSiOLs)1n, where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl. Some useful organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C1-C20 alkyl group or a C6-C12 aryl group. The organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesqiiioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof. Several of the contemplated spin-on materials are described in the following issued patents and pending applications, which are herein incorporated by reference in their entirety: (PCT/USOO/15772 filed June 8, 2000; US Application Serial No. 09/330248 filed June 10, 1999; US Application Serial No. 09/491166 filed June 10, 1999; US 6,365,765 issued on April 2, 2002; US 6,268,457 issued on July 31, 2001; US Application Serial No. 10/001143 filed November 10, 2001; US Application Serial No. 09/491166 filed January 26, 2000; PCT/USOO/00523 filed January 7, 1999; US 6,177,199 issued January 23, 2001; US 6,358,559 issued March 19, 2002; US 6,218,020 issued April 17, 2001; US 6,361,820 issued March 26, 2002; US 6,218,497 issued April 17, 2001; US 6,359,099 issued March 19, 2002; US 6,143,855 issued November 7, 2000; and US Application Serial No. 09/611528 filed March 20, 1998).
Solutions of organohydridosiloxane and organosiloxane resins can be utilized for forming caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including
' hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These organohydridosiloxane resin layers are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in PCT Application PCT/USOl/32569 filed October 17, 2001; PCT Application PCT/USOl/50812 filed December 31, 2001; US Application Serial No. 09/538276; US Application Serial No. 09/544504; US Application Serial No. 09/587851; US Patent 6,214,746; US Patent 6,171,687; US Patent 6,172,128; US Patent 6,156,812, US Application Serial No. 60/350187 filed January 15, 2002; and US 60/347195 filed January 8, 2002, which are all incorporated herein by reference in their entirety.
Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can be also as at least one of the layers. Nanoporous silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855. These types of films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film. The silicon-based precursor composition comprises monomers or prepolymers that have the formula: Rx-Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4. Other nanoporous compounds and methods can be found in US Issued Patents 6,156,812; 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,270, which are incorporated herein in their entirety.
Cage molecules or compounds, as described in detail herein, can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and
, variations of these molecules and compounds are described in detail in PCT/USOl/32569 filed on October 18, 2001 , which is herein incorporated by reference in its entirety.
, Contemplated anti-reflective and absorbing coating materials for ultraviolet photolithography may comprise at least one inorganic-based compound or inorganic material, at least one absorbing compound and in some cases, at least one material modification agent, such as those disclosed in PCT Applications PCT/US02/36327 filed on November 12, 2002; PCT/US03/36354 filed on November 12, 2003 and in US Application Serial No. 10/717028 filed on November 18, 2003. The at least one material modification agent may include any compound or composition that can modify the coating material to improve the photolithographic, compatibility and/or physical quality of the resulting film, such as by improving the etch selectivity and/or stripping selectivity or by minimizing the fill bias. The at least one material modification agent may comprise at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one densifying agent, at least one catalyst, at least one pH tuning agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter, such as a resin- based material and/or a combination thereof that are incorporated into the inorganic-based material or compound. The sacrificial compositions and materials may be laid down or formed as a continuous layer of material, in a pattern, in a non-continuous form or as a combination thereof. As used herein, the phrase "non-continuous form" means that the composition or material is not laid down in a continuous layer and is also not laid down in a pattern. The composition or material in a non-continuous form is laid down or formed having a more random or non-pattern-like appearance.
Other contemplated layers may include solder materials, coating compositions and other related materials, including solder pastes, polymer solders and other solder-based formulations and materials, such as those found in the following Honeywell International Inc.'s issued patents and pending patent applications, which are incorporated herein in their entirety: US Patent Application Serial Nos. 09/851103, 60/357754, 60/372525, 60/396294, and 09/543628; and PCT Pending Application Serial No.: PCT/US02/14613, and all related continuations, divisionals, continuation-in-parts and foreign applications.
Electronic-based products can be "finished" in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are "intermediate" products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products. Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up/mock-up. A prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
As used herein, the term "electronic component" means any device or part that can be used in a circuit to obtain some desired electrical action. Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits. Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge carriers
(such as electrons) to move with ease among atoms as in an electric current. Examples of conductor components are circuit traces and vias comprising metals. Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of current, such as a material employed to electrically separate other components, while semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
Electronic components contemplated herein may also be classified as power sources or power consumers. Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells. As used herein, the term "battery" means a device that produces usable amounts of electrical power through chemical reactions. Similarly, rechargeable or secondary batteries are devices that store usable amounts of electrical energy through chemical reactions. Power consuming components include resistors, transistors, ICs, sensors, and the like.
Still further, electronic components contemplated herein may also be classified as discreet or integrated. Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are ICs, i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic. EXAMPLES
EXAMPLE 1
In order to measure etch rates of various combinations of anhydrous hydrogen fluoride, propylene carbonate and acetic acid on blanket firms of materials common to semiconductor/memory devices applications were tested and are described below.
Procedure: Anhydrous etchant was used as the source of anhydrous HF. Solutions of 10% HF by volume in acetic acid, 5% HF by volume in acetic acid, 2.5% HF by volume in acetic acid and 1.25% by volume HF in acetic acid were prepared in tared 50OmL HDPE bottles by the following method:
Figure imgf000023_0001
The resulting HF/acetic acid solutions were then used to prepare propylene carbonate/HF/ acetic acid solutions by the following methods:
Figure imgf000023_0002
Figure imgf000024_0001
Anhydrous mixtures of hydrogen fluoride, propylene carbonate and acetic acid - etch data.
Figure imgf000024_0002
The following solutions were also produced to use as a comparison:
Figure imgf000024_0003
Etch procedure:
Approximately 2 cm x 2 cm films of the following materials: thermal oxide (TOx), TEOS and CVD OSG (k ~2.7) had a film thickness measured by n + k. Samples were then clamped and placed into solution that was held at 21.50C by use of a temperature bath. Reaction was allowed to take place for a period of 10 minutes. Samples were then removed from solution and placed into a beaker of water to quench the reaction. Wafer samples were thoroughly dried with CDA and a post treatment film measurement was taken using the n + k.
The results of the experiments utilizing these solutions are as follows:
Figure imgf000025_0001
Figure imgf000026_0001
*OSG film was delaminating
EXAMPLE 2
Etch rates of anhydrous mixtures of propylene carbonate and hydrogen fluoride pyridine, mixtures of N-methyl-2-pyrrolidone/acetic acid/HF, ethyl lactate/acetic acid/HF were determined and described below.
Purpose: to measure the etch rates of various mixtures of solvents and HF.
Procedure: Solutions were weighed into tarred 250 mL beakers and mixed. The component amounts were as follows:
Figure imgf000026_0002
Etch procedure:
Approximately 2 cm x 2 cm films of the following materials: thermal oxide (TOx), TEOS and CVD OSG (k ~2.7) had a film thickness measured by n + k. Samples were then clamped and placed into solution that was held at 21.50C by use of a temperature bath. Reaction was allowed to take place for a period of 10 minutes. Samples were then removed from solution and placed into a beaker of water to quench the reaction. Wafer samples were thoroughly dried with CDA and a post treatment film measurement was taken using the n + k.
The results of experiments utilizing these solutions are as follows:
Figure imgf000027_0001
EXAMPLE 3
Etch rates of SiN/Cu, removal of CuO by anhydrous PC/HF/HOAc mixtures were determined and are described below.
Purpose: To test the effect of various compositions of PC/HF/HOAc on SiN, Cu and CuO blanket films.
Procedure: about 0.25% by volume, about 0.5% by volume, about 1% by volume and about 2% by volume HF in 3.5:1 PC:HOAc solutions were prepared as described in Example 1. CuO films were formed by oxidizing 2 cm x 2 cm Cu blanket films on a hot plate at a heat setting of about 6. CuO samples were immersed in HF/PC/HOAc solutions in a temperature controlled bath, checking samples every 30 seconds until the film is visibly removed. Etch rates of SiN and Cu were performed as described earlier. The results of experiments utilizing these solutions are as follows:
Figure imgf000028_0001
Figure imgf000028_0002
EXAMPLE 4
Etch rates of anhydrous propylene carbonate-hydrogen fluoride mixtures of various semiconductor materials were determined and are described below.
Purpose: To determine the etch rates of different concentrations of anhydrous hydrogen fluoride in propylene carbonate solutions on thin film materials commonly used in IC manufacturing. Materials tested include TEOS, thermal oxide (TOx), OSG (k = about 2.7), Si3N4 and HSQ.
' Procedure: An anhydrous propylene carbonate-hydrogen fluoride (PC-HF) solution with a weight percent HF of 5.11 was used as a stock solution to provide concentrations tested. Diluted PC-HF solutions were prepared as follows:
Figure imgf000028_0003
Figure imgf000029_0001
2 cm x 2 cm coupons/wafers of TEOS, OSG, HSQ, thermal oxide (TOx) and Si3N4 had film thicknesses pre-measured using Filmetrics F2O thin-film measurement system (n + k). Sample coupons were soaked in each solution including stock solution for 10 minutes. Samples were then rinsed with DI water and dried with CDA. Sample coupons were then remeasured for film thickness using Filmetrics F2O.
The results of experiments utilizing these solutions are as follows:
Figure imgf000029_0002
Figure imgf000030_0001
Thus, specific embodiments and applications of selective etching and cleaning solutions for semiconductor and electronic applications, these solutions manufacture and uses thereof have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the disclosure. Moreover, in interpreting the disclosure, all terms should be interpreted in the broadest possible manner consistent with the context, hi particular, the terms "comprises" and "comprising" should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, utilized or combined with other elements, components, or steps that are not expressly referenced.

Claims

CLAIMS We claim:
1. A removal chemistry solution, comprising: at least one low H2O content fluorine-based constituent, and at least one solvent or solvent mixture.
2. The removal chemistry solution of claim 1, wherein the at least one low H2O content fluorine-based constituent comprises anhydrous hydrogen fluoride or hydrogen fluoride pyridine.
3. The removal chemistry solution of claim 1, wherein the low H2O content fluorine- based constituent is present in the etching solution in an amount less than about
70% by weight.
4. The removal chemistry solution of claim 3, wherein the low H2O content fluorine- based constituent is present in the etching solution in an amount of about 0.005% to about 70% by weight.
5. The removal chemistry solution of claim 4, wherein the low H2O content fluorine- based constituent is present in the etching solution in an amount of about 0.005% to about 45% by weight.
6. The removal chemistry solution of claim 5, wherein the low H2O content fluorine- based constituent is present in the etching solution in an amount of about 0.005% to about 20% by weight.
7. The removal chemistry solution of claim 6, wherein the low H2O content fluorine- based constituent is present in the etching solution in an amount of about 0.005% to about 5% by weight.
8. The removal chemistry solution of claim 2, wherein the low H2O content fluorine- based constituent comprises hydrogen fluoride gas.
9. The removal chemistry solution of claim 1, wherein the low H2O content fluorine- based constituent comprises less than about 10 % by volume of water.
10. The removal chemistry solution of claim 9, wherein the low H2O content fluorine- based constituent comprises less than about 5 % by volume of water.
11. The removal chemistry solution of claim 10, wherein the low H2O content fluorine-based constituent comprises less than about 2.5 % by volume of water.
12. The removal chemistry solution of claim 11, wherein the low H2O content fluorine-based constituent comprises less than about 1 % by volume of water.
13. The removal chemistry solution of claim 12, wherein the low H2O content fluorine-based constituent is anhydrous.
14. The removal chemistry solution of claim 2; wherein the hydrogen fluoride pyridine is added to the at least one solvent or solvent mixture in a 3:1 molar ratio of hydrogen fluoride:pyridine or in a 9:1 molar ratio of hydrogen fluorideφyridine.
15. The removal chemistry solution of claim 1, wherein the at least one solvent or solvent mixture comprises propylene carbonate, butylene carbonate, ethylene carbonate, gamma-butyrolactone, N-methyl-2-pyrrolidone, propylene glycol, ethylene glycol, ethyl lactate, N,N-dimethylacetamide, propylene glycol monomethyl ether acetate, dimethyl sulfoxide, pyridine or a combination thereof.
16. The removal chemistry solution of claim 1, wherein the at least one solvent or solvent mixture is present in the removal chemistry solution in an amount less than about 99.5% by weight.
17. The removal chemistry solution of claim 16, wherein the at least one solvent or solvent mixture is present in the etching solution in an amount of about 30% to about 99.5% by weight.
15. The removal chemistry solution of claim 1, further comprising at least one additional constituent.
19. The removal chemistry solution of claim 18, wherein the at least one additional constituent comprises at least one oxidizing agent.
20. The removal chemistry solution of claim 19, wherein the Oxidizing agent comprises hydrogen peroxide, urea hydrogen peroxide, benzoyl peroxide, peroxybenzoic acid, peroxyacetic acid, halogenated peroxyacetic acids, organic peroxides, ozone and combinations thereof.
21. The removal chemistry solution of claim 19, wherein the at least one oxidizing agent comprises an anhydrous oxidizing agent.
22. The removal chemistry solution of claim 19, wherein the at least one oxidizing agent is present in solution in an amount less than about 20% by weight.
23. The removal chemistry solution of claim 22, wherein the at least one oxidizing - agent is present in solution in an amount of about 0.001% to about 20% by weight.
24. The removal chemistry solution of claim 18, wherein the at least one additional constituent comprises a low H2O content fluoride source.
25. The removal chemistry solution of claim 24, wherein the low H2O content fluoride source comprises ammonium fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, hydrogen fluoride, tetraethylammonium fluoride, benzyltrimethylammonium fluoride, ammonium bifluoride and combinations thereof.
26. The removal chemistry solution of claim 25, wherein the low H2O content fluoride source is present in solution in an amount less than about 20% by weight.
27. The removal chemistry solution of claim 26, wherein the low H2O content fluoride source is present in solution in an amount of about 0.001% to about 20% by weight.
28. The removal chemistry solution of claim 18, wherein the at least one additional constituent comprises a surfactant.
29. The removal chemistry solution of claim 28, wherein the surfactant comprises an anionic surfactant, a cationic surfactant, a nonionic surfactant, a Zwitterionic surfactant or a combination thereof.
30. The removal chemistry solution of claim 29, wherein the anionic surfactant comprises a sulfonate compound, a fluorinated anionic surfactant or a combination thereof.
31. The removal chemistry solution of claim 30, wherein the sulfonate compound comprises dodecylbenzene sulfonate, tetrapropylenebenzene sulfonate, dodecylbenzene sulfonate or a combination thereof.
32. The removal chemistry solution of claim 30, wherein the fluorinated anionic surfactant comprises Fluorad FC-93.
33. The removal chemistry solution of claim 29, wherein the cationic surfactant comprises a quaternary amine.
34. The removal chemistry solution of claim 33, wherein the quaternary amine comprises dodecyltrimethylammonium bromide or cetyltrimethyl ammonium bromide or a combination thereof.
35. The removal chemistry solution of claim 29, wherein the nonionic surfactant comprises alkyl phenoxy polyethylene oxide alcohols, alkyl phenoxy polyglycidols, and acetylinic alcohols or a combination thereof.
36. The removal chemistry solution of claim 29, wherein the zwitterionic surfactant comprises a betaine, a sulfobetaine, a synthetic phospholipid or a combination thereof.
37. The removal chemistry solution of claim 36, wherein the sulfobetaine comprises cocoamidopropyl betaine.
38. The removal chemistry solution of claim 36, wherein the synthetic phospholipid comprises dioctanoylphosphatidylcholine, lecithin or combinations thereof.
39. The removal chemistry solution of claim 28, wherein the surfactant is present in solution in an amount less than about 5% by weight.
40. The removal chemistry solution of claim 39, wherein the surfactant is present in solution in an amount of about 0.001% to about 5% by weight.
41. The removal chemistry solution of claim 18, where the additional constituent comprises at least one chelating agent.
42. The removal chemistry solution of claim 41, wherein the at least one chelating agent is present in solution in an amount less than about 20% by weight.
43. The removal chemistry solution of claim 42, wherein the at least one chelating agent is present in solution in an amount from about 0.001% to about 20% by weight.
44. The removal chemistry solution of claim 41, wherein the chelating agent comprises an organic acid, an amine, a phosphonate, a sulfonic acid or a combination thereof.
45. The removal chemistry solution of claim 44, wherein the organic acid comprises acetic acid, citric acid, lactic acid, oxalic acid, tartaric acid, maleic acid or a combination thereof.
46. The removal chemistry solution of claim 44, wherein the amine comprises hexamethylenetetramine, EDTA, triethanolamine, nitrilotriacetic acid, tris(2- pyridylmethyl)amine or combinations thereof.
47. The removal chemistry solution of claim 44, wherein the phosphonate comprises diamyl amylphosphonate, bis(2-chloroethyl) methyl phosphonate, dibutyl butylphosphonate, diethyl benzylphosphonate, nitrilotris(methylene)triphosphonic acid and hydroxyethylidenediphosphonic acid or combinations thereof.
48. The removal chemistry solution of claim 44, wherein the sulfonic acid comprises 3-(N-tris[hydroxymethyl]methylamine)-2-hydroxypropanesulfonic acid and 3([1,1- dimethyl-2-hydroxyethyl)amine]-2- hydroxypropanesulfonic acid or combinations thereof.
48. The removal chemistry solution of claim 18, where the additional constituent comprises water.
49. The removal chemistry solution of claim 48, where the water is present in the solution in a weight ratio to hydrogen fluoride of less than 9:10.
50. The removal chemistry solution of claim 1, wherein the solution is an etching solution.
51. The removal chemistry solution of claim 1, wherein the solution is a cleaning solution.
52. The* removal chemistry solution of claim 1, wherein the 'at least one low H2O content fluorine-based constituent comprises hydrogen fluoride gas and the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, . butylene carbonate, propylene glycol, ethylene glycol or a combination thereof.
53. The removal chemistry solution of claim 18, wherein the at least one low H2O content fluorine-based constituent comprises hydrogen fluoride gas; the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, butylene carbonate, propylene glycol, ethylene glycol or a combination thereof; and the additional constituent comprises ozone, hydrogen peroxide or a combination thereof.
54. The removal chemistry solution of claim 18, wherein the at least one low H2O content fluorine-based constituent comprises hydrogen fluoride gas; the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, butylene carbonate, propylene glycol, ethylene glycol or a combination thereof; and the additional constituent comprises at least one chelating agent.
55. A method of forming a removal chemistry solution, comprising: providing at least one low H2O content fluorine-based constituent, providing at least one solvent or solvent mixture, and blending the at least one low H2O content fluorine-based constituent into the at least one solvent or solvent mixture to form the removal chemistry solution.
56. The method of claim 55, further comprising: providing at least one additional constituent, blending the at least one additional constituent into at least one second solvent or solvent mixture to form a constituent-solvent mixture; and blending the constituent-solvent mixture into the removal chemistry solution.
57. The method of claim 56, wherein the at least one low H2O content fluorine-based constituent comprises anhydrous hydrogen fluoride, gaseous hydrogen fluoride or hydrogen fluoride pyridine.
58. The method of claim 55, wherein the low H2O content fluorine-based constituent is present in the solution in an amount less than about 70% by weight.
59. The method of claim 58, wherein the low H2O content fluorine-based constituent is present in the solution in an amount of about 0.005% to about 70% by weight.
60. The method of claim 59, wherein the low H2O content fluorine-based constituent is present in the solution in an amount of about 0.005% to about 45% by weight.
61. The method of claim 60, wherein the low H2O content fluorine-based constituent is present in the solution in an amount of about 0.005% to about 20% by weight.
62. The method of claim 61 , wherein the low H2O content fluorine-based constituent is present in the solution in an amount of about 0.005% to about 5% by weight.
63. The method of claim 55, wherein the low H2O content fluorine-based constituent comprises less than about 10 % by volume of water.
64. The method of claim 63, wherein the low H2O content fluorine-based constituent comprises less than about 5 % by volume of water.
65. The method of claim 64, wherein the low H2O content fluorine-based constituent comprises less than about 2.5 % by volume of water.
66. The method of claim 65, wherein the low H2O content fluorine-based constituent comprises less than about 1 % by volume of water.
67. The method of claim 66, wherein the low H2O content fluorine-based constituent is anhydrous.
68. The method of claim 57, wherein the hydrogen fluoride pyridine is added to the at least one solvent or solvent mixture in a 3:1 molar ratio of hydrogen fluoride:pyridine or in a 9:1 molar ratio of hydrogen fluoride:pyridine.
69. The method of claim 55, wherein the at least one solvent or solvent mixture
■" comprises propylene carbonate, butylene carbonate, ethylene carbonate, gamma- butyrolactone, N-methyl-2-pyrrolidone, ethylene glycol, propylene glycol, ethyl lactate, N,N-dimethylacetarnide, propylene glycol monomethyl ether acetate, dimethyl sulfoxide, pyridine or a combination thereof.
70. The method of claim 69, wherein the at least one solvent or solvent mixture is present in the solution in an amount less than about 99.5% by weight.
71. The method of claim 70, wherein the at least one solvent or solvent mixture is present in the etching solution in an amount of about 30% to about 99.5% by weight.
72. The method of claim 55, further comprising at least one additional constituent.
73. The method of claim 72, wherein the at least one additional constituent comprises at least one oxidizing agent.
74. The method of claim 73, wherein the oxidizing agent comprises ozone, hydrogen 5 peroxide, urea hydrogen peroxide, benzoyl peroxide, peroxybenzoic acid, peroxyacetic acid, halogenated peroxyacetic acids, organic peroxides and combinations thereof.
75. The method of claim 73, wherein the at least one oxidizing agent comprises an anhydrous oxidizing agent.
10 76. The method of claim 73, wherein the at least one oxidizing agent is present in solution in an amount less than about 20% by weight.
77. The method of claim 76, wherein the at least one oxidizing agent is present in solution in an amount of about 0.001% to about 20% by weight.
78. The method of claim 72, wherein the at least one additional constituent comprises 15 a low H2O content fluoride source.
79. The method of claim 78, wherein the low H2O content fluoride source comprises ammonium fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, tetraethyl ammonium fluoride, benzyltrimethylammonium fluoride, ammonium bifluoride, hydrogen fluoride and combinations thereof.
' 20 80. The method of claim 79, wherein the low H2O content fluoride source is present in solution in an amount less than about 20% by weight.
81. The method of claim SO, wherein the low H2O content fluoride source is present in solution in an amount of about 0.001% to about 20% by weight.
82. The method of claim 72, wherein the at least one additional constituent comprises 25 a surfactant.
83. The method of claim 82, wherein the surfactant comprises an anionic surfactant, a cationic surfactant, a nonionic surfactant, a Zwitterionic surfactant or a combination thereof.
84. The method of claim 83, wherein the anionic surfactant comprises a sulfonate compound, a fluorinated anionic surfactant or a combination thereof.
85. The method of claim 84, wherein the sulfonate compound comprises dodecylbenzene sulfonate, tetrapropylenebenzene sulfonate, dodecylbenzene sulfonate or a combination thereof.
86. The method of claim 84, wherein the fluorinated anionic surfactant comprises Fluorad FC-93.
87. The method of claim 83, wherein the cationic surfactant comprises a quaternary amine.
88. The method of claim 87, wherein the quaternary amine comprises dodecyltrimethylammonium bromide or cetyltrimethylammonium bromide or a combination thereof.
89. The method of claim 83, wherein the nonionic surfactant comprises alkyl phenoxy polyethylene oxide alcohols, alkyl phenoxy polyglycidols, and acetylinic alcohols or a combination thereof.
90. The method of claim 83, wherein the zwitterionic surfactant comprises a betaine, a sulfobetaine, a synthetic phospholipid or a combination thereof.
91. The method of claim 90, wherein the sulfobetaine comprises cocoamidopropyl betaine.
92. The method of claim 90, wherein the synthetic phospholipid comprises dioctanoylphosphatidylcholine, lecithin or combinations thereof.
93. The method of claim 83, wherein the surfactant is present in solution in an amount less than about 5% by weight.
94. The method of claim 93, wherein the surfactant is present in solution in an , 'amount of about 0.001% to about 5% by weight.
95. The method of claim 72, where the additional constituent comprises at least one chelating agent.
96. The method of claim 95, wherein the at least one chelating agent is present in solution in an amount less than about 20% by weight.
97. The method of claim 96, wherein the at least one chelating agent is present in solution in an amount from about 0.001% to about 20% by weight.
98. The method of claim 95, wherein the chelating agent comprises an organic acid, an amine, a phosphonate, a sulfonic acid or a combination thereof.
99. The method of claim 98, wherein the organic acid comprises acetic acid, citric acid, lactic acid, oxalic acid, tartaric acid, maleic acid or a combination thereof.
100. The method of claim 98, wherein the amine comprises hexamethylenetetramine, EDTA, triethanolamine, nitrilotriacetic acid, tris(2-pyridylmethyl)amine or a combination thereof.
101. The method of claim 98, wherein the phosphonate comprises diamyl amylphosphonate, bis(2-chloroethyl) methyl phosphonate, dibutyl butylphosphonate, diethyl benzylphosphonate, nitrilotris(methylene)triphosphonic acid and hydroxyethylidenediphosphonic acid or combinations thereof.
102. The method of claim 98, wherein the sulfonic acid comprises 3-(N- tris[hydroxymethyl]methylamine)-2-hydroxypropanesulfonic acid and 3([1,1- dimethyl-2-hydroxyethyl)amine]-2- hydroxypropanesulfonic acid or combinations thereof.
103. The method of claim 72, where the additional constituent comprises water.
104. The method of claim 103, where the water is present in the etching solution in a weight ratio to hydrogen fluoride of less than 9:10.
105. An etching solution produced by the method of claim 55.
106. A cleaning solution produced by the method of claim 55.
107. The removal chemistry solution of claim 57, wherein the at least one low H2O ' content fluorine-based constituent comprises hydrogen fluoride gas and the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, butylene carbonate, propylene glycol, ethylene glycol or a combination thereof.
108. The removal chemistry solution of claim 72, wherein the at least one low H2O content fluorine-based constituent comprises hydrogen fluoride gas; the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, butylene carbonate, propylene glycol, ethylene glycol or a combination thereof; and the additional constituent comprises ozone, hydrogen peroxide or a combination thereof.
109. The removal chemistry solution of claim 72, wherein the at least one low H2O content fluorine-based constituent comprises hydrogen fluoride gas; the at least one solvent or solvent mixture comprises propylene carbonate, ethylene carbonate, butylene carbonate, propylene glycol, ethylene glycol or a combination thereof; and the additional constituent comprises at least one chelating agent.
110. A method of forming a r.emoval chemistry solution, comprising: providing at least one gaseous anhydrous fluorine-based constituent, providing at least one solvent or solvent mixture, and bubbling the at least one anhydrous fluorine-based constituent into the at least one solvent or solvent mixture to form the solution.
111. The method of claim 110, further comprising: providing at least one additional low H2O content fluorine-based constituent, and blending the at least one additional low H2O content fluorine-based constituent into the at least one solvent or solvent mixture.
112. The method of claim 111, further comprising: providing at least one additional constituent, blending the at least one additional constituent into at least one second solvent or solvent mixture to form a constituent-solvent mixture; and blending the constituent-solvent mixture into the solution.
113. A method* of forming a removal chemistry solution, comprising: providing hydrogen fluoride gas, providing at least one solvent or solvent mixture, and bubbling the hydrogen fluoride gas into the at least one solvent or solvent mixture to form the solution. A removal chemistry solution, comprising: hydrogen fluoride gas, and at least one solvent or solvent mixture.
PCT/US2004/038761 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof WO2006054996A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
PCT/US2004/038761 WO2006054996A1 (en) 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof
EP04811473A EP1824945A4 (en) 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof
CNA2004800448370A CN101163776A (en) 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2007543000A JP2008521246A (en) 2004-11-19 2004-11-19 Selective removal chemicals for semiconductor applications, methods for their production and their use
US11/352,124 US20060255315A1 (en) 2004-11-19 2006-02-10 Selective removal chemistries for semiconductor applications, methods of production and uses thereof
TW095116492A TW200731390A (en) 2004-11-19 2006-05-10 Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/038761 WO2006054996A1 (en) 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/352,124 Continuation-In-Part US20060255315A1 (en) 2004-11-19 2006-02-10 Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Publications (1)

Publication Number Publication Date
WO2006054996A1 true WO2006054996A1 (en) 2006-05-26

Family

ID=36407439

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/038761 WO2006054996A1 (en) 2004-11-19 2004-11-19 Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Country Status (4)

Country Link
EP (1) EP1824945A4 (en)
JP (1) JP2008521246A (en)
CN (1) CN101163776A (en)
WO (1) WO2006054996A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007095101A2 (en) * 2006-02-10 2007-08-23 Honeywell International, Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2007140193A1 (en) * 2006-05-25 2007-12-06 Honeywell International Inc. Selective tantalum carbide etchant, methods of production and uses thereof
JP2008101272A (en) * 2006-09-19 2008-05-01 Poligrat Gmbh Stabilizer for metal-containing acidic polishing bath
CN102007196A (en) * 2008-03-07 2011-04-06 高级技术材料公司 Non-selective oxide etch wet clean composition and method of use
CN102471686A (en) * 2009-07-22 2012-05-23 东友Fine-Chem股份有限公司 Etchant composition for the formation of a metal line
US8685272B2 (en) 2008-08-08 2014-04-01 Samsung Electronics Co., Ltd. Composition for etching silicon oxide layer, method for etching semiconductor device using the same, and composition for etching semiconductor device
WO2014164272A1 (en) * 2013-03-12 2014-10-09 Macdermid Acumen, Inc. Electrolytic generation of manganese (iii) ions in strong sulfuric acid
US8951948B2 (en) * 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
EP2748841A4 (en) * 2011-08-22 2015-10-14 1366 Tech Inc Formulation for acidic wet chemical etching of silicon wafers
US9534306B2 (en) 2012-01-23 2017-01-03 Macdermid Acumen, Inc. Electrolytic generation of manganese (III) ions in strong sulfuric acid
US10221357B2 (en) 2012-01-23 2019-03-05 Macdermid Acumen, Inc. Etching of plastic using acidic solutions containing trivalent manganese
US10246788B2 (en) 2012-01-23 2019-04-02 Macdermid Acumen, Inc. Electrolytic generation of manganese (III) ions in strong sulfuric acid using an improved anode
US20220340429A1 (en) * 2021-04-27 2022-10-27 Battelle Memorial Institute Method to control the etching rate of materials

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011016975A (en) * 2009-06-12 2011-01-27 Asahi Kasei Corp Etchant for copper oxide and etching method for copper oxide using the same
DE112010003895T5 (en) * 2009-10-02 2012-08-02 Mitsubishi Gas Chemical Co., Inc. Processing liquid for suppressing a pattern collapse of a fine metal structure and method for producing a fine metal structure in which it is used
CN106833962A (en) * 2016-12-26 2017-06-13 上海申和热磁电子有限公司 Cleaning agent and its preparation and application for removing conductor etching cavity ceramic coating part pollutant
CN110527347A (en) * 2018-05-23 2019-12-03 深圳市裕展精密科技有限公司 Strip agent and the strip method for using the strip agent
CN109111925A (en) * 2018-09-20 2019-01-01 绵阳致知高新科技有限责任公司 A kind of wet etching method of etchant and its tantalum nitride membrane
CN113265659A (en) * 2021-05-26 2021-08-17 绍兴德汇半导体材料有限公司 Etching method for bonding layer structure of titanium and titanium compound on ceramic substrate
CN114891509B (en) * 2021-12-14 2023-05-05 湖北兴福电子材料股份有限公司 High-selectivity buffer oxide etching solution

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6623355B2 (en) * 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US20030216269A1 (en) * 2002-05-15 2003-11-20 Deyoung James P. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US6686322B1 (en) * 1998-11-12 2004-02-03 Sharp Kabushiki Kaisha Cleaning agent and cleaning process using the same
US20040053800A1 (en) * 2002-08-12 2004-03-18 Peng Zhang Process solutions containing surfactants

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2374396A1 (en) * 1976-12-17 1978-07-13 Ibm SILICON PICKLING COMPOSITION
US6068788A (en) * 1995-11-15 2000-05-30 Daikin Industries, Ltd. Wafer-cleaning solution and process for the production thereof
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6686322B1 (en) * 1998-11-12 2004-02-03 Sharp Kabushiki Kaisha Cleaning agent and cleaning process using the same
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6623355B2 (en) * 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US20030216269A1 (en) * 2002-05-15 2003-11-20 Deyoung James P. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040053800A1 (en) * 2002-08-12 2004-03-18 Peng Zhang Process solutions containing surfactants

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1824945A4 *

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9422513B2 (en) 2005-06-07 2016-08-23 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US8951948B2 (en) * 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
WO2007095101A2 (en) * 2006-02-10 2007-08-23 Honeywell International, Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2007095101A3 (en) * 2006-02-10 2008-07-31 Honeywell Int Inc Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2007140193A1 (en) * 2006-05-25 2007-12-06 Honeywell International Inc. Selective tantalum carbide etchant, methods of production and uses thereof
JP2008101272A (en) * 2006-09-19 2008-05-01 Poligrat Gmbh Stabilizer for metal-containing acidic polishing bath
CN102007196B (en) * 2008-03-07 2014-10-29 高级技术材料公司 Non-selective oxide etch wet clean composition and method of use
CN102007196A (en) * 2008-03-07 2011-04-06 高级技术材料公司 Non-selective oxide etch wet clean composition and method of use
US20110117751A1 (en) * 2008-03-07 2011-05-19 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
US8685272B2 (en) 2008-08-08 2014-04-01 Samsung Electronics Co., Ltd. Composition for etching silicon oxide layer, method for etching semiconductor device using the same, and composition for etching semiconductor device
CN102471686B (en) * 2009-07-22 2014-08-27 东友Fine-Chem股份有限公司 Etchant composition for the formation of a metal line
CN102471686A (en) * 2009-07-22 2012-05-23 东友Fine-Chem股份有限公司 Etchant composition for the formation of a metal line
EP2748841A4 (en) * 2011-08-22 2015-10-14 1366 Tech Inc Formulation for acidic wet chemical etching of silicon wafers
US9534306B2 (en) 2012-01-23 2017-01-03 Macdermid Acumen, Inc. Electrolytic generation of manganese (III) ions in strong sulfuric acid
US10221357B2 (en) 2012-01-23 2019-03-05 Macdermid Acumen, Inc. Etching of plastic using acidic solutions containing trivalent manganese
US10246788B2 (en) 2012-01-23 2019-04-02 Macdermid Acumen, Inc. Electrolytic generation of manganese (III) ions in strong sulfuric acid using an improved anode
US10895016B2 (en) 2012-01-23 2021-01-19 Macdermid Acumen, Inc. Electrolytic generation of manganese (III) ions in strong sulfuric acid
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
WO2014164272A1 (en) * 2013-03-12 2014-10-09 Macdermid Acumen, Inc. Electrolytic generation of manganese (iii) ions in strong sulfuric acid
KR101749947B1 (en) * 2013-03-12 2017-06-22 맥더미드 애큐맨, 인코포레이티드 Electrolytic generation of manganese (iii) ions in strong sulfuric acid
US20220340429A1 (en) * 2021-04-27 2022-10-27 Battelle Memorial Institute Method to control the etching rate of materials

Also Published As

Publication number Publication date
EP1824945A4 (en) 2008-08-06
JP2008521246A (en) 2008-06-19
CN101163776A (en) 2008-04-16
EP1824945A1 (en) 2007-08-29

Similar Documents

Publication Publication Date Title
WO2006054996A1 (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20060255315A1 (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US9976111B2 (en) TiN hard mask and etch residual removal
JP6339555B2 (en) Stripping composition having high WN / W selectivity
TWI592468B (en) Methods for the selective removal of ashed spin-on glass
US8026200B2 (en) Low pH mixtures for the removal of high density implanted resist
EP1612858B1 (en) Composition for stripping and cleaning and use thereof
EP1914296B1 (en) Stripper containing an acetal or a ketal for removing post-etched phot-resist, etch polymer and residue
EP1619557B1 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
EP3040397A1 (en) Etchant solutions and method of use thereof
EP2427804B1 (en) Resist stripping compositions and methods for manufacturing electrical devices
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20080110748A1 (en) Selective High Dielectric Constant Material Etchant
JP2011517328A (en) Non-selective oxide etching wet cleaning composition and method of use
TW201435083A (en) Cleaning liquid for semiconductor elements and cleaning method using same
JP2008538013A (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2005053004A1 (en) Selective removal chemistries for sacrificial layers methods of production and uses thereof
KR20070090199A (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2005047422A1 (en) Selective etch and cleaning chemistries, methods of production and uses thereof

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480044837.0

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 11352124

Country of ref document: US

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWP Wipo information: published in national office

Ref document number: 11352124

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007543000

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2004811473

Country of ref document: EP

Ref document number: 1020077013871

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004811473

Country of ref document: EP