US20070251551A1 - Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems - Google Patents

Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems Download PDF

Info

Publication number
US20070251551A1
US20070251551A1 US11/552,808 US55280806A US2007251551A1 US 20070251551 A1 US20070251551 A1 US 20070251551A1 US 55280806 A US55280806 A US 55280806A US 2007251551 A1 US2007251551 A1 US 2007251551A1
Authority
US
United States
Prior art keywords
sam
containing composition
microelectronic device
group
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/552,808
Inventor
Michael Korzenski
Pamela Visintin
Thomas Baum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2006/013430 external-priority patent/WO2006113222A2/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US11/552,808 priority Critical patent/US20070251551A1/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAUM, THOMAS H., KORZENSKI, MICHAEL B., VISINTIN, PAMELA M.
Priority to TW096139998A priority patent/TW200837516A/en
Priority to PCT/US2007/082501 priority patent/WO2008052103A1/en
Publication of US20070251551A1 publication Critical patent/US20070251551A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • the present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using said compositions for removal of same.
  • SAM self-assembled monolayer
  • ion implantation has been extensively employed during front-end-of-line (FEOL) processing to accurately control impurity distributions in the microelectronic device and to add dopant atoms, e.g., As, B and P, to the exposed device layers.
  • the concentration and depth of the dopant impurity is controlled by varying the dose of the dopant, the acceleration energy, and the ion current.
  • the ion-implanted photoresist layer Prior to subsequent processing, the ion-implanted photoresist layer must be removed.
  • wet strip treatments in the art generally involve the use of strong acids, bases, solvents, and oxidizing agents. Disadvantageously, however, wet strip treatments also etch the underlying silicon-containing layers, such as the substrate and gate oxide, and/or increase the gate oxide thickness.
  • aqueous-based etchant formulations of the prior art.
  • Water has a high surface tension which limits or prevents access to the smaller image nodes with high aspect ratios, and therefore, removing the residues in the crevices or grooves becomes more difficult.
  • aqueous-based etchant formulations often leave previously dissolved solutes behind in the trenches or vias upon evaporative drying, which inhibit conduction and reduce device yield.
  • underlying porous low-k dielectric materials do not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structures.
  • Aqueous etchant formulations can also strongly alter important material properties of the low-k materials, including dielectric constant, mechanical strength, moisture uptake, coefficient of thermal expansion, and adhesion to different substrates.
  • the improved composition shall effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).
  • the present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, methods of making and methods of using said compositions for removal of same, and improved microelectronic devices made using the same.
  • SAM self-assembled monolayer
  • the invention relates to a self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • SAM self assembled monolayer
  • the present invention relates to a kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non-halide containing SAM component.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition is devoid of an etchant component.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and optionally incorporating said cleaned microelectronic device into a product.
  • FIG. 2 illustrates the cleaning efficiency of a SAM-containing composition of the present invention as a function of temperature for four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
  • Bulk PR blanketed photoresist layer
  • Crust blanketed ion-implanted photoresist layer
  • Patterned PR a blanketed ion-implanted photoresist layer
  • Patterned Crust patterned ion-implanted photoresist layer
  • FIGS. 3A-3C are atomic force micrographs of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe 3 ( FIG. 3A ), Cl 2 SiMe 2 ( FIG. 3B ), and Cl 3 SiMe ( FIG. 3C ), in 2 mmol Et 3 N in 10 mL of toluene, with the device surface at a contacting temperature of 70° C. for 30 min.
  • FIGS. 4A-4C are optical microscope images ( FIG. 4A ) and scanning electron microscopic (SEM) images ( FIGS. 4B-4C ) of densely patterned, ion implanted photoresist on a microelectronic device surface.
  • FIGS. 5A-5C are optical microscope images of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe 3 ( FIG. 5A ), Cl 2 SiMe 2 ( FIG. 5B ), and Cl 3 SiMe ( FIG. 5C ), at 70° C. for 30 min.
  • FIG. 6 illustrates the removal efficiency of a SAM-containing composition of the present invention as a function of SAM functionality for the four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
  • FIGS. 7A-7C are optical microscope images of the control surface ( FIG. 7A ), the surface following cleaning and passivation using a SAM-containing composition of the invention ( FIG. 7B ), and the surface following depassivation according to the invention ( FIG. 7C ).
  • FIGS. 8A-8E are scanning electron micrographs of the control surface ( FIG. 8A ), the surface following cleaning and passivation using a SAM-containing composition of the invention ( FIG. 8B ), the surface following depassivation at a 90° angle view ( FIG. 8C ) and a 60° angle view ( FIG. 8D ), and a purposely over-etched surface following depassivation ( FIG. 8E ).
  • the present invention is based on the discovery of self-assembled monolayer (SAM)-containing compositions that are highly efficacious for the removal of bulk and hardened photoresist from the surface of microelectronic devices, while maintaining the integrity of the underlying silicon-containing layer(s).
  • SAM self-assembled monolayer
  • “Bulk photoresist,” as used herein, corresponds to the non-carbonized photoresist on the microelectronic device surface, specifically adjacent and below the hardened photoresist crust.
  • Hardened photoresist as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during back-end-of-line (BEOL) dual-damascene processing of integrated circuits, ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer, and/or any other methodology whereby a carbonized or highly cross-linked crust forms on the exposed surface of the bulk photoresist.
  • BEOL back-end-of-line
  • FEOL front-end-of-line
  • underlying silicon-containing layer corresponds to the layer(s) immediately below the bulk and/or the hardened photoresist including: silicon; silicon oxide, including gate oxides (e.g., thermally or chemically grown SiO 2 ) and TEOS; silicon nitride; and low-k silicon-containing materials.
  • low-k silicon-containing material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • Microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • MEMS microelectromechanical systems
  • substantially over-etching corresponds to greater than about 10% removal, more preferably greater than about 5% removal, and most preferably greater than about 2% removal, of the adjacent underlying silicon-containing layer(s) following contact, according to the process of the present invention, of the SAM-containing compositions of the invention with the microelectronic device having said underlying layer(s). In other words, most preferably no more than 2% of the underlying silicon-containing layer(s) are etched using the compositions of the present invention for the prescribed times.
  • suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon corresponds to at least partial removal of said photoresist material from the microelectronic device.
  • at least 90% of the photoresist material is removed from the microelectronic device using the compositions of the invention, more preferably, at least 95%, and most preferably at least 99% of the photoresist material, is removed.
  • Dispos fluid corresponds to a supercritical fluid or a subcritical fluid.
  • supercritical fluid is used herein to denote a material which is under conditions of not lower than a critical temperature, T c , and not less than a critical pressure, P c , in a pressure-temperature diagram of an intended compound.
  • the preferred supercritical fluid employed in the present invention is CO 2 , which may be used alone or in an admixture with another additive such as Ar, NH 3 , N 2 , CH 4 , C 2 H 4 , CHF 3 , C 2 H 6 , n-C 3 H 8 , H 2 O, N 2 O and the like.
  • subcritical fluid describes a solvent in the subcritical state, i.e., below the critical temperature and/or below the critical pressure associated with that particular solvent.
  • the subcritical fluid is a high pressure liquid of varying density.
  • the SAM-containing compositions of the present invention must possess good metal-containing material compatibility, e.g., a low etch rate on the metal-containing material.
  • Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium and ruthenium and silicides and nitrides thereof.
  • SAMs Self assembled monolayers
  • metals e.g., copper, gold, etc
  • oxides of titanium, hafnium, silicon, and aluminum include silanes having at least one leaving group, e.g., a halide, said silane readily forming a covalent bond at an oxygen group on a silicon-containing surface (i.e., via a silylation reaction).
  • the silanes themselves may further include covalently bonded inert molecules, such as polyethylene glycol (PEG), whereby following attachment with the silicon-containing surface, the PEG-silane can block other molecules from binding with said surface.
  • PEG polyethylene glycol
  • PEG-silane SAMs are popular because they are thin (i.e., non-bulky) and hydrophilic, and linkage of the PEG molecule with the silicon-containing surface results in a non-sticky, water-like layer.
  • alkylchlorosilanes may be used to form a hydrophobic surface, if necessary.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.01 weight percent, based on the total weight of the composition in which such components are employed.
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device.
  • the liquid composition according to one embodiment comprises at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant.
  • the liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant.
  • the liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant.
  • the solvent may act concurrently as the catalyst.
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the catalyst concurrently acts as the solvent.
  • the liquid composition according to this embodiment comprises at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight catalyst(s) about 85.0% to about 99.99% SAM(s) about 0.01% to about 10.0% Surfactant(s) 0% to about 10.0%
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device.
  • the liquid composition according to this embodiment comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight solvent(s) about 75.0% to about 99.98% SAM(s) about 0.01% to about 10.0% catalyst(s) about 0.01% to about 10.0% Surfactant(s) 0% to about 10.0%
  • the range of mole ratios of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is about 1:10 to about 5:1, more preferably about 1:5 to about 1:1; the range of mole ratios of SAM(s) relative to liquid solvent(s) is about 1:200 to about 1:50, more preferably about 1:125 to about 1:75; and the range of mole ratios of SAM(s) relative to surfactant(s) (when present) is about 1:10 to about 5:1.
  • the liquid SAM-containing composition may comprise, consist of, or consist essentially of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the specific proportions and amounts of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s), in relation to each other may be suitably varied to provide the desired removal action of the liquid SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • Solvent species useful in the compositions of the invention may be non-polar or polar in nature.
  • Illustrative non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF) and carbon dioxide (subcritical or supercritical).
  • Illustrative polar species include methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, butyl carbitol, hexyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, ⁇ -butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate and mixtures thereof.
  • the solvent comprises a non-polar species. Toluene is especially preferred.
  • the SAM component may include alkoxyhalosilanes including (RO) 3 SiX, (RO) 2 SiX 2 , (RO)SiX 3 , where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched C 1 -C 20 alkoxy species such as methoxy, ethoxy, propoxy, etc., or combinations thereof.
  • alkoxyhalosilanes including (RO) 3 SiX, (RO) 2 SiX 2 , (RO)SiX 3 , where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched C 1 -C 20 alkoxy species such as methoxy, ethoxy, propoxy, etc.,
  • the SAM component includes alkylhalosilanes of the nature (R) 3 SiX, (R) 2 SiX 2 , (R)SiX 3 , where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and R may be the same as or different from one another and is selected from the group consisting of straight-chained, branched or cyclic C 1 -C 20 alkyl species such as methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof. Fluorinated alkyl and alkoxy derivatives may also be used.
  • the SAM component has a PEG molecule attached thereto.
  • the catalyst is included in the composition of the invention to initiate the silylation reaction and speed up the passivation of the underlying silicon-containing layer(s).
  • the catalysts include amines such as trimethylamine, triethylamine, butylamine, pyridine, and any other nucleophilic compound that aids in the removal of a halogen leaving group from the SAM component. It is thought that the amine catalyst promotes an in situ silylation reaction, whereby the SAM silane covalently attaches to oxygen atoms on the underlying silicon-containing layer(s), with the simultaneous generation of a protonated leaving group, e.g., HX.
  • the underlying silicon-containing layer is passivated by the covalently bound silane, while the generated protonated leaving group is available for removal of the hardened photoresist material.
  • the solvent may act concurrently as the catalyst.
  • the liquid SAM-containing compositions of the invention may further include a surfactant to assist in the removal of the resist from the surface of the microelectronic device.
  • a surfactant include, but are not limited to, fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations of the foregoing surfactants.
  • the liquid SAM-containing composition includes less than about 1 wt. % water, more preferably less than about 0.5 wt. % water, and most preferably less than about 0.25 wt. % water, based on the total weight of the composition.
  • the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface.
  • preferably less than 5 wt. % of the SAM component polymerizes at the microelectronic device surface, more preferably less than 2 wt. %, even more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the SAM component polymerizes at the microelectronic device surface.
  • the specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, in relation to each other may be suitably varied to provide the desired cleaning and passivating action of the liquid SAM-containing composition for the bulk and hardened photoresist to be removed from the microelectronic device.
  • Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort.
  • the SAM-containing component(s) and the catalyst(s) are present in an amount effective to remove bulk and hardened photoresist material from a microelectronic device having said material thereon.
  • removing bulk and hardened photoresist material from a microelectronic device is not meant to be limiting in any way and includes the removal of bulk and hardened photoresist material from any substrate that will eventually become a microelectronic device.
  • the liquid SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation.
  • the liquid SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • the liquid SAM-containing compositions of the invention may optionally be formulated with additional components to further enhance the passivation and removal capability of the composition, or to otherwise improve the character of the composition, i.e., provide metal passivation. Accordingly, the composition may be formulated with stabilizers, complexing agents, passivators, e.g., Cu passivating agents, and/or corrosion inhibitors.
  • the liquid SAM-containing compositions of the invention are easily formulated by the mixture of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) with gentle agitation.
  • the solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use.
  • the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the single-package formulation or the individual parts of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid SAM-containing compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, at least one solvent, at least one SAM component, and optionally at least one surfactant for combining with the at least one catalyst at the fab.
  • the kit includes, in one or more containers, at least one SAM component, and optionally at least one surfactant for combining with the at least one solvent and the at least one catalyst at the fab.
  • the kit includes in one container at least one SAM component in solvent and in another container at least one catalyst in solvent for combining at the fab.
  • the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the liquid SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist.
  • the residue material may be dissolved and/or suspended in the liquid SAM-containing composition of the invention.
  • the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • the invention relates to dense SAM-containing compositions including dense fluids, e.g., supercritical fluids (SCF), as the primary solvent system.
  • dense fluids e.g., supercritical fluids (SCF)
  • SCCO 2 supercritical carbon dioxide
  • SCCO 2 is an attractive reagent for removal of microelectronic device process contaminants, since SCCO 2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a “wash” medium. SCCO 2 has a density comparable to organic solvents and also has the advantage of being recyclable, thus minimizing waste storage and disposal requirements.
  • the dense SAM-containing composition comprises SCCO 2 and the liquid SAM-containing composition, i.e., a SAM-containing concentrate, in the following ranges, based on the total weight of the composition: component of % by weight SCCO 2 about 95.0% to about 99.99% liquid SAM-containing composition about 0.01% to about 10.0% where the liquid SAM-containing composition comprises about 75.0% to about 90.0% co-solvent, about 0.01% to about 10.0% SAM component, about 0.01% to about 10.0% catalyst and optionally 0 to about 10.0% surfactant, wherein the co-solvent(s), SAM-component(s), catalyst(s) and optional surfactant(s) contemplated include the aforementioned species.
  • the range of mole ratios of liquid SAM-containing composition relative to SCCO 2 in the dense SAM-containing composition is about 1:200 to about 1:4, more preferably about 1:100 to about 1:6.
  • the dense SAM-containing composition may comprise, consist of, or consist essentially of SCCO 2 and the liquid SAM-containing composition, i.e., at least one additional solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • SCCO 2 and liquid SAM-containing composition in relation to each other, may be suitably varied to provide the desired removal action of the dense SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the liquid SAM-containing composition may be at least partially dissolved and/or suspended within the dense fluid of the dense SAM-containing composition.
  • the invention relates to a dense SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the dense SAM-containing composition includes SCCO 2 , at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist.
  • the residue material may be dissolved and/or suspended in the dense SAM-containing composition of the invention.
  • the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • the dense SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation.
  • the dense SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device using the SAM-containing compositions described herein.
  • trench and via structures on the patterned devices may be cleaned while maintaining the structural integrity of the underlying silicon-containing layers using SAM passivation.
  • SAM-containing compositions may be used in a one-step or multi-step removal process.
  • the SAM-containing compositions of the present invention overcome the disadvantages of the prior art removal techniques by reversibly passivating the underlying silicon-containing layer(s), while simultaneously removing the bulk and hardened photoresist deposited thereon.
  • liquid SAM-containing compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation.
  • the dense SAM-containing compositions are readily formulated by static or dynamic mixing at the appropriate temperature and pressure.
  • the liquid SAM-containing composition is applied in any suitable manner to the microelectronic device having photoresist material thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the photoresist material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, by contacting the device including the photoresist material with a circulating composition, or by any other suitable means, manner or technique, by which the liquid SAM-containing composition is brought into contact with the photoresist material on the microelectronic device.
  • the passivation and removal application may be static or dynamic, as readily determined by one skilled in the art.
  • the liquid SAM-containing composition typically is contacted with the device surface for a time of from about 1 to about 60 minutes, the preferred time being dependent on the dopant ion dose and the implant energy employed during ion implantation, wherein the higher the dopant ion dose and/or implant energy, the longer the contacting time required.
  • temperature is in a range of from about 20° C. to about 80° C., preferably about 30° C. to about 80° C., most preferably about 70° C.
  • contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the photoresist material from the device surface, within the broad practice of the invention.
  • at least partial removal corresponds to at least 90% removal of bulk and hardened photoresist, preferably at least 95% removal. Most preferably, at least 99% of said bulk and hardened photoresist material is removed using the compositions of the present invention.
  • the microelectronic device may be thoroughly rinsed with copious amounts of ethanol and/or THF to remove any residual chemical additives.
  • the SAM-containing compositions of the invention selectively remove 100% of highly doped (with 2 ⁇ 10 15 As ions cm ⁇ 2 ) photoresist (500-700 nm thick) having a hardened, cross-linked carbonized crust ranging from 30-70 nm in thickness. Importantly, the hardened crust is removed without substantially over-etching the underlying silicon-containing layer(s).
  • the microelectronic device surface having the photoresist thereon is contacted with the dense SAM-containing composition, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the dense SAM-containing composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, for at least partial removal of the photoresist from the microelectronic device surface.
  • the chamber may be a batch or single wafer chamber, for continuous, pulsed or static cleaning.
  • the passivation and removal of the hardened photoresist by the dense SAM-containing composition may be enhanced by use of elevated temperature and/or pressure conditions during contacting of the photoresist with the dense SAM-containing composition.
  • the appropriate dense SAM-containing composition may be employed to contact a microelectronic device surface having photoresist thereon at a pressure in a range of from about 1,500 to about 4,500 psi for sufficient time to effect the desired removal of the photoresist, e.g., for a contacting time in a range of from about 5 minutes to about 30 minutes and a temperature of from about 40° C. to about 75° C., although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention.
  • the removal process using the dense SAM-containing composition may include a static soak, a dynamic cleaning mode, or sequential processing steps including dynamic flow of the dense SAM-containing composition over the microelectronic device surface, followed by a static soak of the device in the dense SAM-containing composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • a “dynamic” contacting mode involves continuous flow of the composition over the device surface, to maximize the mass transfer gradient and effect complete removal of the resist from the surface.
  • a “static soak” contacting mode involves contacting the device surface with a static volume of the composition, and maintaining contact therewith for a continued (soaking) period of time.
  • the device thereafter preferably is washed with rinsing solution, for example, aliquots of SCF/co-solvent solution, e.g., SCCO 2 /methanol (80%/20%) solution, and pure SCF, to remove any residual precipitated chemical additives from the region of the device surface in which resist removal has been effected.
  • rinsing solution for example, aliquots of SCF/co-solvent solution, e.g., SCCO 2 /methanol (80%/20%) solution, and pure SCF
  • Another aspect of the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device, said method including passivation of the underlying silicon-containing layer(s) on the microelectronic device surface using non-halide containing SAM component, e.g., hexamethyldisilazane (HMDS), and removing the bulk and hardened photoresist from the microelectronic device using an etchant-containing removal composition.
  • non-halide containing SAM component e.g., hexamethyldisilazane (HMDS)
  • Suitable etchant-containing removal compositions include without limitation, hydrogen fluoride (HF), ammonium fluoride (NH 4 F), alkyl hydrogen fluoride (NRH 3 F), dialkylammonium hydrogen fluoride (NR 2 H 2 F), trialkylammonium hydrogen fluoride (NR 3 HF), trialkylammonium trihydrogen fluoride (NR 3 (3 HF)), tetraallcylammonium fluoride (NR 4 F), pyridine-HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, monoethanolamine/HF complex, triethanolamine/HF complex, triethylamine/formic acid complex, and xenon difluoride (XeF 2 ), wherein each R in the aforementioned R-substituted species is independently selected from C 1 -C 8 alkyl and C 6 -C 10 aryl.
  • the invention in yet another aspect, relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, with the provision that the SAM-containing composition is devoid of an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.
  • an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.
  • a further aspect of the invention includes the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom, referred to herein as “depassivation.”
  • the SAM may be removed using strong acids such as H 2 SO 4 , however, this may cause unwanted oxidation of the underlying silicon-containing layer(s).
  • dilute inorganic acids including halide ions such as HCl and HF, are preferred under optimized process conditions.
  • the halide ions will readily attack a passivating Si—O—Si bond at the SAM-device surface interface and thus “depassivate” the device surface.
  • special care should be taken to minimize over-etching of the silicon-containing layer(s) on the device surface.
  • the depassivating solution may include about 0.01 wt % to about 2 wt. % dilute inorganic acid/amine complex and/or inorganic acid in a solvent to depassivate the device surface with only slight fluorination and over-etching of the underlying silicon-containing layers.
  • Dilute inorganic acid/amine complexes and inorganic acids contemplated herein include pyridine/HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, and triethylamine/formic acid complex, and combinations thereof with peroxides, concentrated HCl, ammonium hydroxide, and mixtures thereof.
  • These compositions may be aqueous-based, solvent-based, or combinations thereof.
  • solvents contemplated herein for the depassivating solution include, but are not limited to, water, DMSO, methanol, ethyl acetate, any of the other aforementioned solvents, and combinations thereof. It is to be understood that following depassivation, the depassivating composition will include some amount of SAM compounds.
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, and incorporating said microelectronic device into said article, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the SAM-containing composition may further include a dense fluid.
  • Atomic Force Microscopy and surface energy measurements were performed before and after contact of a sample device surface with the SAM-containing compositions of the invention to determine the extent of removal of hardened photoresist as well as monolayer formation on the surface of said device.
  • the sample device surfaces included wafers consisting of (from top to bottom) an ion-implanted photoresist layer (2 ⁇ 10 15 As ions cm ⁇ 2 ; 10 keV implant energy), a bulk photoresist layer, a silicon-containing gate oxide layer, and a silicon substrate.
  • the samples were processed for varying times and at varying temperatures using varying SAM functionalities, and the contact angles measured. The results are tabulated in Tables 1-3 hereinbelow.
  • Passivation of the underlying silicon-containing layer is evidenced by an increase in the contact angle following application of the SAM-containing composition with the device surface. It can be seen in Table 1 that a process time of less than 10 minutes is needed to transform the hydroxyl-terminated hydrophilic device surface, having a contact angle of 35 degrees, to a methyl-terminated hydrophobic surface, having a contact angle of 77 degrees.
  • FIGS. 1A-1D The corresponding AFM images illustrated in FIGS. 1A-1D , at contacting times equal to 10 min, 30 min, 1 hour and 15 hours, respectively, clearly show that as time increased (while maintaining all other process parameters constant), small islands form on the silicon-containing surface due to polymerization (or cross-linking) of the multi-substituted chlorosilane. As process time is increased, the islands gradually coalesce, or agglomerate, and at 15 hours show evidence of bulk polymerization on the surface.
  • the preliminary temperature studies were performed to determine the most effective temperature for surface passivation and cleaning efficiency. With regards to cleaning efficiency, four different microelectronic device layers were considered: bulk blanketed photoresist; the 30-45 nm ion-implanted crust on the bulk blanketed photoresist; bulk patterned photoresist; and the ion-implanted crust on the bulk patterned photoresist. Comparing the results reported in Table 2 (the contact angles) with the percent removal efficiency illustrated in FIG. 2 , it can be seen that temperatures greater than 60° C. provide the greatest amount of passivation as well as almost 100% removal of photoresist. Accordingly, all subsequent experiments as a function of time and SAM functionality were performed at 70° C.
  • the formation of islands is indicative of the necessity for more aggressive depassivation techniques (e.g., more concentrated compositions, greater contact time, etc.).
  • FIGS. 4A-4C show the optical ( FIG. 4A ) and scanning electron microscopic (SEM) images of sample device surfaces including a layer of densely patterned, highly doped (2 ⁇ 10 15 As ions cm 2 ; 10 keV implant energy) photoresist consisting of a region of parallel lines.
  • the 30 nm thick hardened crust can be clearly seen in the 90 degree angle view image ( FIG. 4C ).
  • the cleaning efficiency of the crust as a function of chloride substitution on the SAM component is illustrated in FIG. 5A (ClSiMe 3 ), FIG. 5B (Cl 2 SiMe 2 ), and FIG. 5C (Cl 3 SiMe).
  • 5A-5C illustrate that as the number of chloride leaving groups on the SAM component increases, the amount of hardened photoresist removed also increases. In fact, greater than 90% removal of the four different microelectronic device layers is achievable using the Cl 3 SiMe-containing composition (see FIG. 6 ). It is thought that the increase in crust removal is the result of an increase in HCl generated when the SAM-containing composition is applied to the device surface.
  • FIG. 7B is an optical image of the device surface of FIG. 7A following application at 70° C. for 30 min of a SAM-containing composition including Cl 3 SiMe.
  • FIG. 7B is an optical image of the device surface of FIG. 7A following application at 70° C. for 30 min of a SAM-containing composition including Cl 3 SiMe.
  • the contact angle of the passivated surface was determined to be 79° (
  • FIG. 7C is an optical image of the device surface of FIG. 7B following depassivation at 50° C. for 2 min using NEt 3 :HF (1:3 mole ratio) in DMSO composition.
  • depassivation process should be optimized in order to eliminate fluorination and/or over-etching of the underlying silicon-containing layer(s). For example, depassivation may be performed in 30 second intervals for SAM removal from thermal oxide-containing device structures and 20 second intervals for SAM removal from TEOS-based device structures.
  • FIGS. 8A-8E provide another illustration of the passivation and cleaning results, as well as depassivation following removal of the hardened photoresist.
  • FIG. 8A is a SEM of a device surface including a densely patterned, highly doped (2 ⁇ 10 15 As ions cm ⁇ 2 ; 10 keV implant energy) photoresist layer prior to processing.
  • FIG. 8B is a SEM of the densely patterned surface of FIG. 8A following application at 70° C. for 30 min of a SAM-containing composition including Cl 3 SiMe, illustrating the successful and efficient removal (and passivation) of the hardened photoresist.
  • FIGS. 8C and 8D are SEMs of the device surface of FIG. 8B following depassivation at 50° C.
  • FIGS. 8C and 8D do not show any evidence of substantial over-etching of the underlying silicon-containing layers during the depassivation process (compare with the over-etched sample in FIG. 8E ).
  • the improved SAM-containing compositions taught herein effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).

Abstract

A method and self assembled monolayer (SAM)-containing compositions for removing bulk and hardened photoresist material from microelectronic devices have been developed. The SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally a surfactant. The SAM-containing compositions effectively remove the hardened photoresist material while simultaneously passivating the underlying silicon-containing layer(s) in a one step process.

Description

    FIELD OF THE INVENTION
  • The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using said compositions for removal of same.
  • DESCRIPTION OF THE RELATED ART
  • As semiconductor devices have become more integrated and miniaturized, ion implantation has been extensively employed during front-end-of-line (FEOL) processing to accurately control impurity distributions in the microelectronic device and to add dopant atoms, e.g., As, B and P, to the exposed device layers. The concentration and depth of the dopant impurity is controlled by varying the dose of the dopant, the acceleration energy, and the ion current. Prior to subsequent processing, the ion-implanted photoresist layer must be removed. Various processes have been used in the past for the removal of said hardened photoresist including, but not limited to, wet chemical etching processes, e.g., in a mixed solution of sulphuric acid and hydrogen peroxide, and dry plasma etching processes, e.g., in an oxygen plasma ashing process.
  • Unfortunately, when high doses of ions (e.g., doses greater than about 1×1015 atoms cm−2), at low (5 keV), medium (10 keV) and high (20 keV) implant energy, are implanted in the desired layer, they are also implanted throughout the photoresist layer, particularly the exposed surface of the photoresist, which becomes physically and chemically rigid. The rigid ion-implanted photoresist layer, also referred to as the carbonized region or “crust,” has proven difficult to remove.
  • Presently, the removal of the ion-implanted photoresist and other contaminants is usually performed by a plasma etch method followed by a multi-step wet strip process, typically using aqueous-based etchant formulations to remove photoresist, post-etch residue and other contaminants. Wet strip treatments in the art generally involve the use of strong acids, bases, solvents, and oxidizing agents. Disadvantageously, however, wet strip treatments also etch the underlying silicon-containing layers, such as the substrate and gate oxide, and/or increase the gate oxide thickness.
  • As the feature sizes continue to decrease, satisfying the aforementioned removal requirements becomes significantly more challenging using the aqueous-based etchant formulations of the prior art. Water has a high surface tension which limits or prevents access to the smaller image nodes with high aspect ratios, and therefore, removing the residues in the crevices or grooves becomes more difficult. In addition, aqueous-based etchant formulations often leave previously dissolved solutes behind in the trenches or vias upon evaporative drying, which inhibit conduction and reduce device yield. Furthermore, underlying porous low-k dielectric materials do not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structures. Aqueous etchant formulations can also strongly alter important material properties of the low-k materials, including dielectric constant, mechanical strength, moisture uptake, coefficient of thermal expansion, and adhesion to different substrates.
  • Therefore, it would be a significant advance in the art to provide an improved composition that overcomes the deficiencies of the prior art relating to the removal of bulk and hardened photoresist from microelectronic devices. The improved composition shall effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).
  • SUMMARY OF THE INVENTION
  • The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, methods of making and methods of using said compositions for removal of same, and improved microelectronic devices made using the same.
  • In one aspect, the invention relates to a self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • In another aspect, the present invention relates to a kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • In a further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • In a still further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non-halide containing SAM component.
  • In another aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition is devoid of an etchant component.
  • In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and optionally incorporating said cleaned microelectronic device into a product.
  • Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D are atomic force micrographs of the microelectronic device surfaces at contacting times=1 min, 30 min, 1 hour and 15 hours, respectively, following contact of a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 70° C.
  • FIG. 2 illustrates the cleaning efficiency of a SAM-containing composition of the present invention as a function of temperature for four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
  • FIGS. 3A-3C are atomic force micrographs of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (FIG. 3A), Cl2SiMe2 (FIG. 3B), and Cl3SiMe (FIG. 3C), in 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 70° C. for 30 min.
  • FIGS. 4A-4C are optical microscope images (FIG. 4A) and scanning electron microscopic (SEM) images (FIGS. 4B-4C) of densely patterned, ion implanted photoresist on a microelectronic device surface.
  • FIGS. 5A-5C are optical microscope images of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (FIG. 5A), Cl2SiMe2 (FIG. 5B), and Cl3SiMe (FIG. 5C), at 70° C. for 30 min.
  • FIG. 6 illustrates the removal efficiency of a SAM-containing composition of the present invention as a function of SAM functionality for the four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
  • FIGS. 7A-7C are optical microscope images of the control surface (FIG. 7A), the surface following cleaning and passivation using a SAM-containing composition of the invention (FIG. 7B), and the surface following depassivation according to the invention (FIG. 7C).
  • FIGS. 8A-8E are scanning electron micrographs of the control surface (FIG. 8A), the surface following cleaning and passivation using a SAM-containing composition of the invention (FIG. 8B), the surface following depassivation at a 90° angle view (FIG. 8C) and a 60° angle view (FIG. 8D), and a purposely over-etched surface following depassivation (FIG. 8E).
  • DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
  • The present invention is based on the discovery of self-assembled monolayer (SAM)-containing compositions that are highly efficacious for the removal of bulk and hardened photoresist from the surface of microelectronic devices, while maintaining the integrity of the underlying silicon-containing layer(s).
  • “Bulk photoresist,” as used herein, corresponds to the non-carbonized photoresist on the microelectronic device surface, specifically adjacent and below the hardened photoresist crust.
  • “Hardened photoresist” as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during back-end-of-line (BEOL) dual-damascene processing of integrated circuits, ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer, and/or any other methodology whereby a carbonized or highly cross-linked crust forms on the exposed surface of the bulk photoresist.
  • As used herein, “underlying silicon-containing” layer corresponds to the layer(s) immediately below the bulk and/or the hardened photoresist including: silicon; silicon oxide, including gate oxides (e.g., thermally or chemically grown SiO2) and TEOS; silicon nitride; and low-k silicon-containing materials. As defined herein, “low-k silicon-containing material” corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • “Microelectronic device” corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • As defined herein, “substantially over-etching” corresponds to greater than about 10% removal, more preferably greater than about 5% removal, and most preferably greater than about 2% removal, of the adjacent underlying silicon-containing layer(s) following contact, according to the process of the present invention, of the SAM-containing compositions of the invention with the microelectronic device having said underlying layer(s). In other words, most preferably no more than 2% of the underlying silicon-containing layer(s) are etched using the compositions of the present invention for the prescribed times.
  • As used herein, “about” is intended to correspond to ±5% of the stated value.
  • As used herein, “suitability” for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, corresponds to at least partial removal of said photoresist material from the microelectronic device. Preferably, at least 90% of the photoresist material is removed from the microelectronic device using the compositions of the invention, more preferably, at least 95%, and most preferably at least 99% of the photoresist material, is removed.
  • “Dense fluid,” as used herein, corresponds to a supercritical fluid or a subcritical fluid. The term “supercritical fluid” is used herein to denote a material which is under conditions of not lower than a critical temperature, Tc, and not less than a critical pressure, Pc, in a pressure-temperature diagram of an intended compound. The preferred supercritical fluid employed in the present invention is CO2, which may be used alone or in an admixture with another additive such as Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O and the like. The term “subcritical fluid” describes a solvent in the subcritical state, i.e., below the critical temperature and/or below the critical pressure associated with that particular solvent. Preferably, the subcritical fluid is a high pressure liquid of varying density.
  • Importantly, the SAM-containing compositions of the present invention must possess good metal-containing material compatibility, e.g., a low etch rate on the metal-containing material. Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium and ruthenium and silicides and nitrides thereof.
  • Self assembled monolayers (SAMs) are known to passivate various surfaces, including, but not limited to, metals (e.g., copper, gold, etc), and oxides of titanium, hafnium, silicon, and aluminum. SAMs include silanes having at least one leaving group, e.g., a halide, said silane readily forming a covalent bond at an oxygen group on a silicon-containing surface (i.e., via a silylation reaction). The silanes themselves may further include covalently bonded inert molecules, such as polyethylene glycol (PEG), whereby following attachment with the silicon-containing surface, the PEG-silane can block other molecules from binding with said surface. PEG-silane SAMs are popular because they are thin (i.e., non-bulky) and hydrophilic, and linkage of the PEG molecule with the silicon-containing surface results in a non-sticky, water-like layer. In contrast, alkylchlorosilanes may be used to form a hydrophobic surface, if necessary.
  • Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.01 weight percent, based on the total weight of the composition in which such components are employed.
  • In one aspect, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to one embodiment comprises at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant. The liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant. The liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst.
  • In one embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the catalyst concurrently acts as the solvent. The liquid composition according to this embodiment comprises at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition:
    component of % by weight
    catalyst(s) about 85.0% to about 99.99%
    SAM(s) about 0.01% to about 10.0%
    Surfactant(s) 0% to about 10.0%
  • In a particularly preferred embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to this embodiment comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition:
    component of % by weight
    solvent(s) about 75.0% to about 99.98%
    SAM(s) about 0.01% to about 10.0%
    catalyst(s) about 0.01% to about 10.0%
    Surfactant(s) 0% to about 10.0%
  • In one aspect, the range of mole ratios of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is about 1:10 to about 5:1, more preferably about 1:5 to about 1:1; the range of mole ratios of SAM(s) relative to liquid solvent(s) is about 1:200 to about 1:50, more preferably about 1:125 to about 1:75; and the range of mole ratios of SAM(s) relative to surfactant(s) (when present) is about 1:10 to about 5:1.
  • In the broad practice of the invention, the liquid SAM-containing composition may comprise, consist of, or consist essentially of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s), in relation to each other, may be suitably varied to provide the desired removal action of the liquid SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • Solvent species useful in the compositions of the invention may be non-polar or polar in nature. Illustrative non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF) and carbon dioxide (subcritical or supercritical). Illustrative polar species include methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, butyl carbitol, hexyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate and mixtures thereof. Preferably, the solvent comprises a non-polar species. Toluene is especially preferred.
  • The SAM component may include alkoxyhalosilanes including (RO)3SiX, (RO)2SiX2, (RO)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched C1-C20 alkoxy species such as methoxy, ethoxy, propoxy, etc., or combinations thereof. Preferably, the SAM component includes alkylhalosilanes of the nature (R)3SiX, (R)2SiX2, (R)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and R may be the same as or different from one another and is selected from the group consisting of straight-chained, branched or cyclic C1-C20 alkyl species such as methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof. Fluorinated alkyl and alkoxy derivatives may also be used. Preferably, the SAM component includes alkylhalosilanes where X=Cl and R=methyl. In another alternative, the SAM component has a PEG molecule attached thereto.
  • Although not wishing to be bound by theory, the catalyst is included in the composition of the invention to initiate the silylation reaction and speed up the passivation of the underlying silicon-containing layer(s). Preferably, the catalysts include amines such as trimethylamine, triethylamine, butylamine, pyridine, and any other nucleophilic compound that aids in the removal of a halogen leaving group from the SAM component. It is thought that the amine catalyst promotes an in situ silylation reaction, whereby the SAM silane covalently attaches to oxygen atoms on the underlying silicon-containing layer(s), with the simultaneous generation of a protonated leaving group, e.g., HX. Accordingly, the underlying silicon-containing layer is passivated by the covalently bound silane, while the generated protonated leaving group is available for removal of the hardened photoresist material. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst.
  • The liquid SAM-containing compositions of the invention may further include a surfactant to assist in the removal of the resist from the surface of the microelectronic device. Illustrative surfactants include, but are not limited to, fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations of the foregoing surfactants.
  • In a preferred embodiment, the liquid SAM-containing composition includes less than about 1 wt. % water, more preferably less than about 0.5 wt. % water, and most preferably less than about 0.25 wt. % water, based on the total weight of the composition. Further, preferably the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface. For example, preferably less than 5 wt. % of the SAM component polymerizes at the microelectronic device surface, more preferably less than 2 wt. %, even more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the SAM component polymerizes at the microelectronic device surface.
  • In general, the specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, in relation to each other, may be suitably varied to provide the desired cleaning and passivating action of the liquid SAM-containing composition for the bulk and hardened photoresist to be removed from the microelectronic device. Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort. Most preferably, the SAM-containing component(s) and the catalyst(s) are present in an amount effective to remove bulk and hardened photoresist material from a microelectronic device having said material thereon.
  • It is to be understood that the phrase “removing bulk and hardened photoresist material from a microelectronic device” is not meant to be limiting in any way and includes the removal of bulk and hardened photoresist material from any substrate that will eventually become a microelectronic device.
  • It is also contemplated herein that the liquid SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the liquid SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • The liquid SAM-containing compositions of the invention may optionally be formulated with additional components to further enhance the passivation and removal capability of the composition, or to otherwise improve the character of the composition, i.e., provide metal passivation. Accordingly, the composition may be formulated with stabilizers, complexing agents, passivators, e.g., Cu passivating agents, and/or corrosion inhibitors.
  • The liquid SAM-containing compositions of the invention are easily formulated by the mixture of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) with gentle agitation. The solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the single-package formulation or the individual parts of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid SAM-containing compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, at least one solvent, at least one SAM component, and optionally at least one surfactant for combining with the at least one catalyst at the fab. According to another embodiment, the kit includes, in one or more containers, at least one SAM component, and optionally at least one surfactant for combining with the at least one solvent and the at least one catalyst at the fab. In yet another embodiment, the kit includes in one container at least one SAM component in solvent and in another container at least one catalyst in solvent for combining at the fab. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • In yet another embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the liquid SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the liquid SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • In yet another aspect, the invention relates to dense SAM-containing compositions including dense fluids, e.g., supercritical fluids (SCF), as the primary solvent system. Because of its readily manufactured character and its lack of toxicity and negligible environmental effects, supercritical carbon dioxide (SCCO2) is the preferred SCF. SCCO2 is an attractive reagent for removal of microelectronic device process contaminants, since SCCO2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a “wash” medium. SCCO2 has a density comparable to organic solvents and also has the advantage of being recyclable, thus minimizing waste storage and disposal requirements.
  • The dense SAM-containing composition according to one embodiment comprises SCCO2 and the liquid SAM-containing composition, i.e., a SAM-containing concentrate, in the following ranges, based on the total weight of the composition:
    component of % by weight
    SCCO2 about 95.0% to about 99.99%
    liquid SAM-containing composition about 0.01% to about 10.0%

    where the liquid SAM-containing composition comprises about 75.0% to about 90.0% co-solvent, about 0.01% to about 10.0% SAM component, about 0.01% to about 10.0% catalyst and optionally 0 to about 10.0% surfactant, wherein the co-solvent(s), SAM-component(s), catalyst(s) and optional surfactant(s) contemplated include the aforementioned species.
  • In one aspect, the range of mole ratios of liquid SAM-containing composition relative to SCCO2 in the dense SAM-containing composition is about 1:200 to about 1:4, more preferably about 1:100 to about 1:6.
  • In the broad practice of the invention, the dense SAM-containing composition may comprise, consist of, or consist essentially of SCCO2 and the liquid SAM-containing composition, i.e., at least one additional solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of SCCO2 and liquid SAM-containing composition, in relation to each other, may be suitably varied to provide the desired removal action of the dense SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort. Importantly, the liquid SAM-containing composition may be at least partially dissolved and/or suspended within the dense fluid of the dense SAM-containing composition.
  • In yet another embodiment, the invention relates to a dense SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the dense SAM-containing composition includes SCCO2, at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the dense SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • It is also contemplated herein that the dense SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon-containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the dense SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • In yet another aspect, the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device using the SAM-containing compositions described herein. For example, trench and via structures on the patterned devices may be cleaned while maintaining the structural integrity of the underlying silicon-containing layers using SAM passivation. It should be appreciated by one skilled in the art that the SAM-containing compositions may be used in a one-step or multi-step removal process.
  • The SAM-containing compositions of the present invention overcome the disadvantages of the prior art removal techniques by reversibly passivating the underlying silicon-containing layer(s), while simultaneously removing the bulk and hardened photoresist deposited thereon.
  • The liquid SAM-containing compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation. The dense SAM-containing compositions are readily formulated by static or dynamic mixing at the appropriate temperature and pressure.
  • In passivation and removal application, the liquid SAM-containing composition is applied in any suitable manner to the microelectronic device having photoresist material thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the photoresist material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, by contacting the device including the photoresist material with a circulating composition, or by any other suitable means, manner or technique, by which the liquid SAM-containing composition is brought into contact with the photoresist material on the microelectronic device. The passivation and removal application may be static or dynamic, as readily determined by one skilled in the art.
  • In use of the compositions of the invention for removing photoresist material from microelectronic device surfaces having same thereon, the liquid SAM-containing composition typically is contacted with the device surface for a time of from about 1 to about 60 minutes, the preferred time being dependent on the dopant ion dose and the implant energy employed during ion implantation, wherein the higher the dopant ion dose and/or implant energy, the longer the contacting time required. Preferably, temperature is in a range of from about 20° C. to about 80° C., preferably about 30° C. to about 80° C., most preferably about 70° C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the photoresist material from the device surface, within the broad practice of the invention. As defined herein, “at least partial removal” corresponds to at least 90% removal of bulk and hardened photoresist, preferably at least 95% removal. Most preferably, at least 99% of said bulk and hardened photoresist material is removed using the compositions of the present invention.
  • Following the achievement of the desired passivation and cleaning action, the microelectronic device may be thoroughly rinsed with copious amounts of ethanol and/or THF to remove any residual chemical additives.
  • The SAM-containing compositions of the invention selectively remove 100% of highly doped (with 2×1015 As ions cm−2) photoresist (500-700 nm thick) having a hardened, cross-linked carbonized crust ranging from 30-70 nm in thickness. Importantly, the hardened crust is removed without substantially over-etching the underlying silicon-containing layer(s).
  • For passivation and cleaning applications using the dense SAM-containing compositions, the microelectronic device surface having the photoresist thereon is contacted with the dense SAM-containing composition, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the dense SAM-containing composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, for at least partial removal of the photoresist from the microelectronic device surface. The chamber may be a batch or single wafer chamber, for continuous, pulsed or static cleaning. The passivation and removal of the hardened photoresist by the dense SAM-containing composition may be enhanced by use of elevated temperature and/or pressure conditions during contacting of the photoresist with the dense SAM-containing composition.
  • The appropriate dense SAM-containing composition may be employed to contact a microelectronic device surface having photoresist thereon at a pressure in a range of from about 1,500 to about 4,500 psi for sufficient time to effect the desired removal of the photoresist, e.g., for a contacting time in a range of from about 5 minutes to about 30 minutes and a temperature of from about 40° C. to about 75° C., although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention.
  • The removal process using the dense SAM-containing composition may include a static soak, a dynamic cleaning mode, or sequential processing steps including dynamic flow of the dense SAM-containing composition over the microelectronic device surface, followed by a static soak of the device in the dense SAM-containing composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • A “dynamic” contacting mode involves continuous flow of the composition over the device surface, to maximize the mass transfer gradient and effect complete removal of the resist from the surface. A “static soak” contacting mode involves contacting the device surface with a static volume of the composition, and maintaining contact therewith for a continued (soaking) period of time.
  • Following the contacting of the dense SAM-containing composition to the microelectronic device surface, the device thereafter preferably is washed with rinsing solution, for example, aliquots of SCF/co-solvent solution, e.g., SCCO2/methanol (80%/20%) solution, and pure SCF, to remove any residual precipitated chemical additives from the region of the device surface in which resist removal has been effected.
  • It will be appreciated that specific contacting conditions for the liquid SAM-containing and the dense SAM-containing compositions of the invention are readily determinable within the skill of the art, based on the disclosure herein, and that the specific proportions of ingredients and concentrations of ingredients in the compositions of the invention may be widely varied while achieving desired passivation of the underlying silicon-containing layer(s) and removal of the hardened photoresist material on the microelectronic device surface.
  • Another aspect of the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device, said method including passivation of the underlying silicon-containing layer(s) on the microelectronic device surface using non-halide containing SAM component, e.g., hexamethyldisilazane (HMDS), and removing the bulk and hardened photoresist from the microelectronic device using an etchant-containing removal composition. Suitable etchant-containing removal compositions include without limitation, hydrogen fluoride (HF), ammonium fluoride (NH4F), alkyl hydrogen fluoride (NRH3F), dialkylammonium hydrogen fluoride (NR2H2F), trialkylammonium hydrogen fluoride (NR3HF), trialkylammonium trihydrogen fluoride (NR3(3 HF)), tetraallcylammonium fluoride (NR4F), pyridine-HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, monoethanolamine/HF complex, triethanolamine/HF complex, triethylamine/formic acid complex, and xenon difluoride (XeF2), wherein each R in the aforementioned R-substituted species is independently selected from C1-C8 alkyl and C6-C10 aryl. Additional species are disclosed in co-pending U.S. Provisional Patent Application No. 60/672,157, filed Apr. 15, 2005 in the name of Pamela M. Visintin et al. for “Dense Fluid Formulations for Cleaning Ion-Implanted Photoresist Layers from Microelectronic Devices,” which is incorporated herein by reference in its entirety.
  • In yet another aspect, the invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, with the provision that the SAM-containing composition is devoid of an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.
  • Regardless of the method used to remove the hardened photoresist from the microelectronic device, a further aspect of the invention includes the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom, referred to herein as “depassivation.”
  • When carbon contamination due to the passivating alkyl groups on the wafer surface is unacceptable (approximately 3 to 10 Å monolayer of methyl groups when Cl3SiMe is the SAM used), the SAM may be removed using strong acids such as H2SO4, however, this may cause unwanted oxidation of the underlying silicon-containing layer(s). Thus, dilute inorganic acids including halide ions, such as HCl and HF, are preferred under optimized process conditions. The halide ions will readily attack a passivating Si—O—Si bond at the SAM-device surface interface and thus “depassivate” the device surface. However, special care should be taken to minimize over-etching of the silicon-containing layer(s) on the device surface.
  • The inventors have previously shown that anhydrous solutions of HF/Pyridine (1:1 mole ratio) in DMSO are known to etch thermal oxide, TEOS, silicon nitride, and polysilicon at rates less than <0.1 Å min−1. Thus, the depassivating solution may include about 0.01 wt % to about 2 wt. % dilute inorganic acid/amine complex and/or inorganic acid in a solvent to depassivate the device surface with only slight fluorination and over-etching of the underlying silicon-containing layers. Dilute inorganic acid/amine complexes and inorganic acids contemplated herein include pyridine/HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, and triethylamine/formic acid complex, and combinations thereof with peroxides, concentrated HCl, ammonium hydroxide, and mixtures thereof. These compositions may be aqueous-based, solvent-based, or combinations thereof. For example, solvents contemplated herein for the depassivating solution include, but are not limited to, water, DMSO, methanol, ethyl acetate, any of the other aforementioned solvents, and combinations thereof. It is to be understood that following depassivation, the depassivating composition will include some amount of SAM compounds.
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, and incorporating said microelectronic device into said article, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. Alternatively, the SAM-containing composition may further include a dense fluid.
  • The features and advantages of the invention are more fully shown by the illustrative example discussed below.
  • EXAMPLE 1
  • Atomic Force Microscopy (AFM) and surface energy measurements were performed before and after contact of a sample device surface with the SAM-containing compositions of the invention to determine the extent of removal of hardened photoresist as well as monolayer formation on the surface of said device. The sample device surfaces included wafers consisting of (from top to bottom) an ion-implanted photoresist layer (2×1015 As ions cm−2; 10 keV implant energy), a bulk photoresist layer, a silicon-containing gate oxide layer, and a silicon substrate. The samples were processed for varying times and at varying temperatures using varying SAM functionalities, and the contact angles measured. The results are tabulated in Tables 1-3 hereinbelow.
    TABLE 1
    Processing as a function of time using a SAM-containing composition
    including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene,
    and a contacting temperature of 70° C.
    Time Contact Angle (°)
    0 (control) 35 ± 3
    10 min 77 ± 2
    30 min 79 ± 1
    1 hour 80 ± 1
    15 hours 95 ± 4
  • TABLE 2
    Processing as a function of temperature using a SAM-containing
    composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10
    mL of toluene, and a contacting time of 30 min.
    Temperature/° C. Contact Angle (°)
    control 35 ± 3
    50° C. 75 ± 2
    60° C. 79 ± 2
    70° C. 79 ± 1
  • TABLE 3
    Processing as a function of SAM functionalities using a SAM-containing
    composition including 1 mmol of the listed SAM and 2 mmol
    Et3N in 10 mL of toluene, at a contacting temperature of 70° C.
    for a contacting time of 30 min.
    SAM Contact Angle (°)
    Cl3SiMe 79 ± 1
    Cl2Si(Me)2 86 ± 1
    ClSi(Me)3 97 ± 1
    Cl3SiH 87 ± 4
  • Passivation of the underlying silicon-containing layer is evidenced by an increase in the contact angle following application of the SAM-containing composition with the device surface. It can be seen in Table 1 that a process time of less than 10 minutes is needed to transform the hydroxyl-terminated hydrophilic device surface, having a contact angle of 35 degrees, to a methyl-terminated hydrophobic surface, having a contact angle of 77 degrees.
  • The corresponding AFM images illustrated in FIGS. 1A-1D, at contacting times equal to 10 min, 30 min, 1 hour and 15 hours, respectively, clearly show that as time increased (while maintaining all other process parameters constant), small islands form on the silicon-containing surface due to polymerization (or cross-linking) of the multi-substituted chlorosilane. As process time is increased, the islands gradually coalesce, or agglomerate, and at 15 hours show evidence of bulk polymerization on the surface.
  • The preliminary temperature studies were performed to determine the most effective temperature for surface passivation and cleaning efficiency. With regards to cleaning efficiency, four different microelectronic device layers were considered: bulk blanketed photoresist; the 30-45 nm ion-implanted crust on the bulk blanketed photoresist; bulk patterned photoresist; and the ion-implanted crust on the bulk patterned photoresist. Comparing the results reported in Table 2 (the contact angles) with the percent removal efficiency illustrated in FIG. 2, it can be seen that temperatures greater than 60° C. provide the greatest amount of passivation as well as almost 100% removal of photoresist. Accordingly, all subsequent experiments as a function of time and SAM functionality were performed at 70° C.
  • The evidence of cross-linking is better shown in FIGS. 3A-3C, which illustrate the variation of cross-linking as a function of SAM functionality, specifically the number of chloride leaving groups, at temperature of 70° C. and time of 30 min. It can be seen that with ClSiMe3 (FIG. 3A), the ability of the SAM to cross-link does not exist, and a smooth monolayer (rms=0.415 nm; control rms=0.131 nm) is formed on the surface. However, with Cl2SiMe2 (FIG. 3B) and Cl3SiMe (FIG. 3C), cross-linking occurs as evidenced by the island formation described hereinabove, which as a result, leads to rougher film surfaces (rms=0.465 and 1.573 nm for the di- and tri-chlorosilanes, respectively). The formation of islands is indicative of the necessity for more aggressive depassivation techniques (e.g., more concentrated compositions, greater contact time, etc.).
  • EXAMPLE 2
  • FIGS. 4A-4C show the optical (FIG. 4A) and scanning electron microscopic (SEM) images of sample device surfaces including a layer of densely patterned, highly doped (2×1015 As ions cm2; 10 keV implant energy) photoresist consisting of a region of parallel lines. The 30 nm thick hardened crust can be clearly seen in the 90 degree angle view image (FIG. 4C). The cleaning efficiency of the crust as a function of chloride substitution on the SAM component is illustrated in FIG. 5A (ClSiMe3), FIG. 5B (Cl2SiMe2), and FIG. 5C (Cl3SiMe). The optical microscope images in FIGS. 5A-5C illustrate that as the number of chloride leaving groups on the SAM component increases, the amount of hardened photoresist removed also increases. In fact, greater than 90% removal of the four different microelectronic device layers is achievable using the Cl3SiMe-containing composition (see FIG. 6). It is thought that the increase in crust removal is the result of an increase in HCl generated when the SAM-containing composition is applied to the device surface.
  • An additional experiment was performed whereby a non-halide containing SAM-containing composition was contacted with the sample device surface including densely patterned, highly doped photoresist and underlying silicon-containing layer(s). No hardened photoresist was removed, even though the sample was passivated as evidenced by the contact angle of 63°. Therefore, our results show that some amount of leaving group, e.g., chloride, is necessary for hardened photoresist removal.
  • EXAMPLE 3
  • A further aspect of the invention includes the removal of the passivating layer from the surface of the microelectronic device, or “depassivation.” FIG. 7A is an optical microscope image of a densely patterned device surface having a contact angle of 36° and an rms=0.15 nm. FIG. 7B is an optical image of the device surface of FIG. 7A following application at 70° C. for 30 min of a SAM-containing composition including Cl3SiMe. The contact angle of the passivated surface was determined to be 79° (with a rms=1.10 nm), evidencing passivation of the silicon-containing surface. It can be seen that at least 90% of the hardened photoresist was removed. FIG. 7C is an optical image of the device surface of FIG. 7B following depassivation at 50° C. for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The contact angle of the depassivated surface was determined to be 35° (with a rms=0.25 nm). Once the contact angle of the surface matches that of the surface prior to contact with the SAM-containing composition, the depassivation process is essentially complete.
  • It is noted that the depassivation process should be optimized in order to eliminate fluorination and/or over-etching of the underlying silicon-containing layer(s). For example, depassivation may be performed in 30 second intervals for SAM removal from thermal oxide-containing device structures and 20 second intervals for SAM removal from TEOS-based device structures.
  • FIGS. 8A-8E provide another illustration of the passivation and cleaning results, as well as depassivation following removal of the hardened photoresist. FIG. 8A is a SEM of a device surface including a densely patterned, highly doped (2×1015 As ions cm−2; 10 keV implant energy) photoresist layer prior to processing. FIG. 8B is a SEM of the densely patterned surface of FIG. 8A following application at 70° C. for 30 min of a SAM-containing composition including Cl3SiMe, illustrating the successful and efficient removal (and passivation) of the hardened photoresist. FIGS. 8C and 8D are SEMs of the device surface of FIG. 8B following depassivation at 50° C. for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The SEM image in FIGS. 8C and 8D do not show any evidence of substantial over-etching of the underlying silicon-containing layers during the depassivation process (compare with the over-etched sample in FIG. 8E).
  • The improved SAM-containing compositions taught herein effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s).
  • Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features and embodiments, within their spirit and scope.

Claims (42)

1. (canceled)
2. A method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
3. The method of claim 2, wherein said contacting is carried out at conditions selected from the group consisting of: time of from about 1 minute to about 60 minutes; temperature in a range of from about 30° C. to about 80° C., and combinations thereof.
4. (canceled)
5. The method of claim 2, wherein the solvent comprises at least one solvent selected from the group consisting of toluene, decane, octane, dodecane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof;
wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof; and
wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X=F, Cl, Br and I, and R=methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof.
6. The method of claim 2, wherein the mole ratio of SAM(s) relative to catalyst(s) in a liquid SAM-containing composition is in a range from about 1:10 to about 5:1 and the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.
7. The method of claim 2, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
8. The method of claim 2, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions, and antimony ions.
9. The method of claim 2, wherein the contacting comprises a process selected from the group consisting of: spraying the SAM-containing composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of SAM-containing composition;
contacting a surface of the microelectronic device with another material that is saturated with the SAM-containing composition; contacting the microelectronic device with a circulating SAM-containing composition; contacting the microelectronic device with a continuous flow of the SAM-containing composition; and contacting the microelectronic device surface with a static volume of the SAM-containing composition for a continued period of time.
10. The method of claim 2, further comprising rinsing the microelectronic device following contact with the SAM-containing composition.
11. The method of claim 2, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.
12. The method of claim 11, wherein the silicon-containing layer comprises a silicon-containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride;
silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.
13. The method of claim 11, wherein the underlying silicon-containing layer has a contact angle in a range from about 60 degrees to about 120 degrees following formation of the SAM-passivating layer.
14. The method of claim 2, further comprising removing a SAM-passivating layer from the microelectronic device with a depassivating composition following at least partial removal of said photoresist material from the microelectronic device.
15. The method of claim 14, wherein the depassivating composition comprises compounds selected from the group consisting of pyridine/HF complexes, pyridine/HCl complexes, pyridine/HBr complexes, triethylamine/HF complexes, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, triethylamine/HCl complexes, triethylamine/formic acid complexes, peroxide derivatives thereof, concentrated HCl, ammonium hydroxide, and combinations thereof.
16. The method of claim 2, wherein the solvent comprises dense carbon dioxide.
17. The method of claim 16, wherein said contacting comprises conditions selected from the group consisting of: pressure in a range of from about 1500 to about 4500 psi; time in a range of from about 5 to about 30 minutes; temperature in a range of from about 40° C. to about 75° C.; and combinations thereof.
18. (canceled)
19. (canceled)
20. (canceled)
21. A method of removing a self assembled monolayer (SAM) passivating layer from a microelectronic device with a depassivating composition, wherein the depassivating composition comprises compounds selected from the group consisting of pyridine/HF complexes, pyridine/HCl complexes, pyridine/HBr complexes, triethylamine/HF complexes, fluorosilicic acid, hydrofluoric acid, tetrafluoroboric acid, triethylamine/HCl complexes, triethylamine/formic acid complexes, peroxide derivatives thereof, concentrated HCl, ammonium hydroxide, and combinations thereof.
22. A self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
23. The SAM-containing composition of claim 22, wherein the mole ratio of SAM(s) relative to catalyst(s) in a liquid SAM-containing composition is in a range from about 1:10 to about 5:1, and the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.
24. The SAM-containing composition of claim 22, wherein the solvent comprises at least one non-polar solvent selected from the group consisting of toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, and mixtures thereof.
25. The SAM-containing composition of claim 24, further comprising an additional solvent selected from the group consisting of methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof.
26. The SAM-containing composition of claim 22, wherein the solvent comprises toluene.
27. The SAM-containing composition of claim 22, wherein the solvent comprises dense carbon dioxide.
28. The SAM-containing composition of claim 22, wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X=F, Cl, Br and I, and R=methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof.
29. The SAM-containing composition of claim 22, wherein the SAM component comprises an alkylchlorosilane selected from the group consisting of Cl3SiMe, Cl2SiMe2, and ClSiMe3.
30. The SAM-containing composition of claim 22, wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof.
31. The SAM-containing composition of claim 22, comprising at least one surfactant.
32. The SAM-containing composition of claim 22, wherein the surfactant comprises a surfactant species selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene glycol ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, dodecylbenzenesulfonic acid salts, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations thereof.
33. The SAM-containing composition of claim 22, wherein the composition comprises toluene, Cl3SiMe and triethylamine.
34. The SAM-containing composition of claim 22, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
35. The SAM-containing composition of claim 22, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions and antimony ions.
36. The SAM-containing composition of claim 22, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.
37. The SAM-containing composition of claim 36, wherein the silicon-containing layer comprises a silicon-containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.
38. The SAM-containing composition of claim 27, wherein the carbon dioxide is supercritical.
39. The SAM-containing composition of claim 22, further comprising photoresist residue material, wherein the photoresist comprises bulk photoresist, hardened photoresist, or combinations thereof.
40. The SAM-containing composition of claim 39, wherein the photoresist comprises an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, antimony ions, and combinations thereof.
41. A kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
42. A method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with the SAM-containing composition of claim 22 for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said material thereon.
US11/552,808 2005-04-15 2006-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems Abandoned US20070251551A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/552,808 US20070251551A1 (en) 2005-04-15 2006-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
TW096139998A TW200837516A (en) 2006-10-25 2007-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
PCT/US2007/082501 WO2008052103A1 (en) 2006-10-25 2007-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US67185105P 2005-04-15 2005-04-15
PCT/US2006/013430 WO2006113222A2 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US11/552,808 US20070251551A1 (en) 2005-04-15 2006-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/013430 Continuation-In-Part WO2006113222A2 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Publications (1)

Publication Number Publication Date
US20070251551A1 true US20070251551A1 (en) 2007-11-01

Family

ID=39324941

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/552,808 Abandoned US20070251551A1 (en) 2005-04-15 2006-10-25 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Country Status (3)

Country Link
US (1) US20070251551A1 (en)
TW (1) TW200837516A (en)
WO (1) WO2008052103A1 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008058173A3 (en) * 2006-11-07 2008-08-07 Advanced Tech Materials Formulations for cleaning memory device structures
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US20100015735A1 (en) * 2008-07-18 2010-01-21 Inotera Memories, Inc. Observation method of wafer ion implantation defect
US20100065530A1 (en) * 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110237053A1 (en) * 2008-10-21 2011-09-29 Dean Baker Method and Apparatus for the Formation of an Electronic Device
US20120309999A1 (en) * 2008-05-02 2012-12-06 Micron Technology, Inc. Ammonium fluoride chemistries
US20130061876A1 (en) * 2011-09-14 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Surface Clean
CN103149810A (en) * 2011-12-06 2013-06-12 台湾积体电路制造股份有限公司 Techniques providing photoresist removal
US8497233B2 (en) 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10570522B2 (en) 2010-08-16 2020-02-25 Entegris, Inc. Etching solution for copper or copper alloy
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20230080049A1 (en) * 2020-06-12 2023-03-16 Fluid Energy Group Ltd. Process to manufacutre novel inhibited hydrofluoric acid composition

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US20020077259A1 (en) * 2000-10-16 2002-06-20 Skee David C. Stabilized alkaline compositions for cleaning microlelectronic substrates
US6465403B1 (en) * 1998-05-18 2002-10-15 David C. Skee Silicate-containing alkaline compositions for cleaning microelectronic substrates
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates
US20030181344A1 (en) * 2002-03-12 2003-09-25 Kazuto Ikemoto Photoresist stripping composition and cleaning composition
US20040048761A1 (en) * 2002-09-09 2004-03-11 Kazuto Ikemoto Cleaning composition
US20040081922A1 (en) * 2001-06-29 2004-04-29 Kazuto Ikemoto Photoresist stripper composition
US20040188713A1 (en) * 2002-01-17 2004-09-30 Rantala Juha T. Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
US20050287480A1 (en) * 2004-03-31 2005-12-29 Masayuki Takashima Photoresist stripper composition
US20060063351A1 (en) * 2004-09-10 2006-03-23 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7018937B2 (en) * 2002-08-29 2006-03-28 Micron Technology, Inc. Compositions for removal of processing byproducts and method for using same
US20060175603A1 (en) * 2003-06-20 2006-08-10 Guenter Schmid Compound used to form a self-assembled monolayer, layer structure, semiconductor component having a layer structure, and method for producing a layer structure
US20080312125A1 (en) * 2001-06-28 2008-12-18 Idan Kim Solvents containing cycloalkyl alkyl ethers and process for production of the ethers

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6465403B1 (en) * 1998-05-18 2002-10-15 David C. Skee Silicate-containing alkaline compositions for cleaning microelectronic substrates
US6585825B1 (en) * 1998-05-18 2003-07-01 Mallinckrodt Inc Stabilized alkaline compositions for cleaning microelectronic substrates
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US20020077259A1 (en) * 2000-10-16 2002-06-20 Skee David C. Stabilized alkaline compositions for cleaning microlelectronic substrates
US7494962B2 (en) * 2001-06-28 2009-02-24 Zeon Corporation Solvents containing cycloakyl alkyl ethers and process for production of the ethers
US20080312125A1 (en) * 2001-06-28 2008-12-18 Idan Kim Solvents containing cycloalkyl alkyl ethers and process for production of the ethers
US20040081922A1 (en) * 2001-06-29 2004-04-29 Kazuto Ikemoto Photoresist stripper composition
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates
US20040188713A1 (en) * 2002-01-17 2004-09-30 Rantala Juha T. Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
US20030181344A1 (en) * 2002-03-12 2003-09-25 Kazuto Ikemoto Photoresist stripping composition and cleaning composition
US7018937B2 (en) * 2002-08-29 2006-03-28 Micron Technology, Inc. Compositions for removal of processing byproducts and method for using same
US20040048761A1 (en) * 2002-09-09 2004-03-11 Kazuto Ikemoto Cleaning composition
US20060175603A1 (en) * 2003-06-20 2006-08-10 Guenter Schmid Compound used to form a self-assembled monolayer, layer structure, semiconductor component having a layer structure, and method for producing a layer structure
US20050287480A1 (en) * 2004-03-31 2005-12-29 Masayuki Takashima Photoresist stripper composition
US20060063351A1 (en) * 2004-09-10 2006-03-23 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2008058173A3 (en) * 2006-11-07 2008-08-07 Advanced Tech Materials Formulations for cleaning memory device structures
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100065530A1 (en) * 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20120309999A1 (en) * 2008-05-02 2012-12-06 Micron Technology, Inc. Ammonium fluoride chemistries
US9005473B2 (en) * 2008-05-02 2015-04-14 Micron Technology, Inc. Gaseous compositions comprising hydrogen fluoride and an alkylated ammonia derivative
US7772015B2 (en) * 2008-07-18 2010-08-10 Inotera Memories, Inc. Observation method of wafer ion implantation defect
US20100015735A1 (en) * 2008-07-18 2010-01-21 Inotera Memories, Inc. Observation method of wafer ion implantation defect
US20110237053A1 (en) * 2008-10-21 2011-09-29 Dean Baker Method and Apparatus for the Formation of an Electronic Device
US8652964B2 (en) * 2008-10-21 2014-02-18 Plastic Logic Limited Method and apparatus for the formation of an electronic device
US8497233B2 (en) 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US10570522B2 (en) 2010-08-16 2020-02-25 Entegris, Inc. Etching solution for copper or copper alloy
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US20130061876A1 (en) * 2011-09-14 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Surface Clean
US9790600B2 (en) 2011-09-30 2017-10-17 Entegris, Inc. Etching agent for copper or copper alloy
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
CN103149810A (en) * 2011-12-06 2013-06-12 台湾积体电路制造股份有限公司 Techniques providing photoresist removal
US8734662B2 (en) * 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20230080049A1 (en) * 2020-06-12 2023-03-16 Fluid Energy Group Ltd. Process to manufacutre novel inhibited hydrofluoric acid composition

Also Published As

Publication number Publication date
TW200837516A (en) 2008-09-16
WO2008052103A1 (en) 2008-05-02

Similar Documents

Publication Publication Date Title
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP5349326B2 (en) Compositions and methods for selective removal of silicon nitride
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
TWI710629B (en) Compositions and methods for removing ceria particles from a surface
JP7438211B2 (en) Silicon nitride etching composition and method
KR20200030121A (en) Methods for the selective removal of ashed spin-on glass
WO2006113621A2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
WO2006138505A1 (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
JP2011517328A (en) Non-selective oxide etching wet cleaning composition and method of use
KR20080050488A (en) Removal of particle contamination on patterned silicon/silicon dioxide using dense fluid/chemical formulations
TWI821455B (en) Post chemical mechanical polishing cleaning compositions
JP2011520142A (en) Low pH mixture for removal of high density implanted resist
JP2015517691A (en) Composition and process for stripping photoresist from a surface comprising titanium nitride
EP1877530A2 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20060121168A (en) Removal of mems sacrificial layers using supercritical fluid/chemical formulations
JP2022546293A (en) Improved Formulations for Highly Selective Silicon Nitride Etch
JP2022519329A (en) Composition for removing ceria
WO2022076252A1 (en) Microelectronic device cleaning composition
TW202330894A (en) Microelectronic device cleaning composition
TW202346541A (en) Formulated alkaline chemistry for polysilicon exhume

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KORZENSKI, MICHAEL B.;VISINTIN, PAMELA M.;BAUM, THOMAS H.;REEL/FRAME:018781/0280;SIGNING DATES FROM 20070108 TO 20070119

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION