JP2008538013A - Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems - Google Patents

Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems Download PDF

Info

Publication number
JP2008538013A
JP2008538013A JP2008506595A JP2008506595A JP2008538013A JP 2008538013 A JP2008538013 A JP 2008538013A JP 2008506595 A JP2008506595 A JP 2008506595A JP 2008506595 A JP2008506595 A JP 2008506595A JP 2008538013 A JP2008538013 A JP 2008538013A
Authority
JP
Japan
Prior art keywords
sam
containing composition
microelectronic device
silicon
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008506595A
Other languages
Japanese (ja)
Inventor
ビー. コルゼンスキー,マイケル
エム. ビサンチン,パメラ
バウム,トーマス,エイチ.
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2008538013A publication Critical patent/JP2008538013A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジスト材料を除去するための方法及び自己組織化単分子膜(SAM)含有組成物を開発した。SAM含有組成物は、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に界面活性剤とを含む。SAM含有組成物は、一工程プロセスで、硬化フォトレジスト材料を効果的に除去すると同時に下層のケイ素含有層を不動態化する。  A method and self-assembled monolayer (SAM) containing composition for removing bulk and hardened photoresist materials from microelectronic devices has been developed. The SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally a surfactant. SAM-containing compositions passivate the underlying silicon-containing layer while effectively removing the hardened photoresist material in a one-step process.

Description

発明の分野
本発明は、マイクロエレクトロニクスデバイスの表面からのバルク及び硬化フォトレジストの除去に有用な自己組織化単分子膜(SAM)含有組成物、並びに、その除去に前記組成物を使用する方法に関する。
FIELD OF THE INVENTION This invention relates to self-assembled monolayer (SAM) containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using the compositions for their removal. .

関連技術の説明
半導体デバイスがより集積化され小型化されるようになるにつれて、マイクロエレクトロニクスデバイス内の不純物分布を正確に制御するために、並びに、ドーパント原子、例えば、As、B、及びPを、露出されたデバイス層に添加するために、フロントエンドオブライン(front−end−of−line)(FEOL)処理でイオン注入が広く用いられている。ドーパント不純物の濃度及び深さは、ドーパントの線量、加速エネルギー及びイオン電流を変化させることによって制御される。その次の処理に先立ち、イオン注入フォトレジスト層を除去しなければならない。従来、例えば硫酸及び過酸化水素の混合溶液中での湿式化学エッチングプロセス、並びに、例えば酸素プラズマアッシングプロセスにおける乾式プラズマエッチングプロセスを含むが、これらに限定されない多様なプロセスが、前記硬化フォトレジストの除去に用いられてきた。
2. Description of Related Art As semiconductor devices become more integrated and miniaturized, dopant atoms, eg, As, B, and P, are used to accurately control impurity distribution in microelectronic devices and Ion implantation is widely used in front-end-of-line (FEOL) processing for addition to exposed device layers. The concentration and depth of the dopant impurity is controlled by varying the dopant dose, acceleration energy, and ion current. Prior to subsequent processing, the ion-implanted photoresist layer must be removed. Conventionally, various processes including, but not limited to, a wet chemical etching process in a mixed solution of sulfuric acid and hydrogen peroxide and a dry plasma etching process, for example, in an oxygen plasma ashing process, remove the cured photoresist. Has been used.

残念ながら、低注入エネルギー(5keV)、中注入エネルギー(10keV)、高注入エネルギー(20keV)での高線量(例えば約1×1015atm/cmよりも大きい線量)のイオンが所望の層内に注入される際、それらはフォトレジスト層、特にフォトレジストの露出表面全体にも注入され、これは、物理的にかつ化学的に硬くなる。炭化領域又は「クラスト」とも呼ばれる、硬いイオン注入フォトレジスト層は、除去することが困難であると判明している。 Unfortunately, high doses of ions at low implantation energy (5 keV), medium implantation energy (10 keV), high implantation energy (20 keV) (e.g., a dose greater than about 1 × 10 15 atm / cm 2 ) in the desired layer When injected into the photoresist, they are also implanted into the photoresist layer, particularly the entire exposed surface of the photoresist, which becomes physically and chemically hard. Hard ion-implanted photoresist layers, also referred to as carbonized regions or “crusts”, have proven difficult to remove.

現在、イオン注入フォトレジスト及びその他の汚染物の除去は、通常、プラズマエッチング法により行われ、次いで、典型的には水性ベースのエッチャント配合物を用いる多段ウェットストリッププロセスが行われて、フォトレジスト、エッチング後の残留物及びその他の汚染物を除去する。当該技術におけるウェットストリップ処理は、一般に、強酸、塩基、溶媒及び酸化剤の使用を含む。しかしながら、不利なことに、ウェットストリップ処理も基板及びゲート酸化物など下層のケイ素含有層をエッチングし、及び/又は、ゲート酸化物厚さを増加させる。   Currently, removal of ion-implanted photoresist and other contaminants is usually done by plasma etching, followed by a multi-step wet strip process, typically using an aqueous-based etchant formulation, Residues and other contaminants after etching are removed. Wet strip processing in the art generally involves the use of strong acids, bases, solvents and oxidizing agents. Unfortunately, wet stripping also etches the underlying silicon-containing layer, such as the substrate and gate oxide, and / or increases the gate oxide thickness.

特徴寸法が減少し続けるにつれて、従来技術の水性ベースのエッチャント配合物を用いて上記除去要件を満足することが非常に困難になっている。水は高い表面張力を有し、高アスペクト比のより小さいイメージノードへの接近を制限又は妨害するため、隙間又は溝内の残留物を除去することが更に困難になる。さらに、水性ベースのエッチャント配合物はしばしば、蒸発乾燥すると、先に溶解した溶質をトレンチ又はビア内に残存させるが、これにより導通が阻害されデバイス歩留りが低減する。さらに、下層の多孔性low−k誘電体材料が水などの高表面張力液体の毛管応力に耐えるのに十分な機械的強度を有しない結果、構造のパターン崩壊をもたらす。水性エッチャント配合物はまた、誘電率、機械的強度、吸湿、熱膨張係数、及び異なる基板への接着を含む、low−k材料の重要な材料特性を強く変化させ得る。   As feature sizes continue to decrease, it becomes very difficult to meet the above removal requirements using prior art aqueous based etchant formulations. Since water has a high surface tension and limits or prevents access to smaller image nodes with high aspect ratios, it becomes more difficult to remove residues in the gaps or grooves. Furthermore, aqueous based etchant formulations often evaporate to dry, leaving previously dissolved solutes in the trenches or vias, which inhibits conduction and reduces device yield. Furthermore, the underlying porous low-k dielectric material does not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structure. Aqueous etchant formulations can also strongly change important material properties of low-k materials, including dielectric constant, mechanical strength, moisture absorption, coefficient of thermal expansion, and adhesion to different substrates.

したがって、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去することに関連する従来技術の欠陥を克服するような改良された組成物を提供することが、当該技術における著しい進歩となるであろう。改良された組成物は、プラズマエッチング工程を必要とせずに、また、下層のケイ素含有層を実質的にオーバーエッチングすることなく、一工程又は多工程プロセスでバルク及び硬化フォトレジストを効果的に除去するものとする。   Accordingly, it would be a significant advance in the art to provide improved compositions that overcome the deficiencies of the prior art associated with removing bulk and hardened photoresists from microelectronic devices. The improved composition effectively removes bulk and hardened photoresists in a one-step or multi-step process without the need for plasma etching steps and without substantially over-etching the underlying silicon-containing layer It shall be.

発明の概要
本発明は、マイクロエレクトロニクスデバイスの表面からのバルク及び硬化フォトレジストの除去に有用な自己組織化単分子膜(SAM)含有組成物、それらの除去のために前記組成物を製造する方法及び使用する方法、並びにそれらを用いて製造した改良されたマイクロエレクトロニクスデバイスに関する。
SUMMARY OF THE INVENTION The present invention is a self-assembled monolayer (SAM) containing composition useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and a method for producing said composition for their removal. And methods of use, and improved microelectronic devices made using them.

一態様において、本発明は、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む自己組織化単分子膜(SAM)含有組成物であって、前記SAM組成物は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去するのに適した、自己組織化単分子膜(SAM)含有組成物に関する。   In one aspect, the present invention provides a self-assembled monolayer (SAM) -containing composition comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. The SAM composition relates to a self-assembled monolayer (SAM) containing composition suitable for removing the photoresist material from a microelectronic device having bulk and hardened photoresist material thereon. .

別の態様において、本発明は、1つ以上の容器内にSAM含有組成物試薬を含むキットであって、そのSAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含み、キットが、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去するのに適したSAM含有組成物を形成するように構成された、キットに関する。   In another aspect, the present invention is a kit comprising a SAM-containing composition reagent in one or more containers, the SAM-containing composition comprising at least one solvent, at least one catalyst, and at least one A SAM-containing composition comprising a SAM component and optionally at least one surfactant, wherein the kit is suitable for removing said photoresist material from a microelectronic device having bulk and cured photoresist material thereon. The kit is configured to form.

更なる態様において、本発明は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間及び十分な接触条件下でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程を含み、SAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む、方法に関する。   In a further aspect, the invention provides a method of removing the photoresist material from a microelectronic device having bulk and hardened photoresist material thereon, the method comprising at least removing the photoresist material from the microelectronic device. Contacting the microelectronic device with the SAM-containing composition for a time sufficient to partially remove and under sufficient contact conditions, the SAM-containing composition comprising at least one solvent, at least one catalyst, It relates to a method comprising at least one SAM component and optionally at least one surfactant.

なおも更なる態様において、本発明は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、フォトレジスト材料の下層のケイ素含有層を少なくとも部分的に不動態化するのに十分な時間でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程と、マイクロエレクトロニクスデバイスをエッチャント含有除去組成物に接触させて、マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去する工程とを含み、SAM含有組成物がハロゲン化物非含有SAM成分を含む、方法に関する。   In yet a further aspect, the present invention is a method of removing said photoresist material from a microelectronic device having bulk and cured photoresist material thereon, said method comprising silicon-containing underlayers of the photoresist material Contacting the microelectronic device with the SAM-containing composition for a time sufficient to at least partially passivate the layer and contacting the microelectronic device with the etchant-containing removal composition to remove the photo from the microelectronic device. And at least partially removing the resist material, wherein the SAM-containing composition comprises a halide-free SAM component.

別の態様において、本発明は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程を含み、SAM含有組成物がエッチャント成分を欠く、方法に関する。   In another aspect, the invention provides a method for removing the photoresist material from a microelectronic device having bulk and cured photoresist material thereon, the method comprising at least removing the photoresist material from the microelectronic device. Contacting a microelectronic device with a SAM-containing composition for a time sufficient to partially remove, wherein the SAM-containing composition lacks an etchant component.

更に別の態様において、本発明は、マイクロエレクトロニクスデバイスを製造する方法であって、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程であって、上記SAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む工程と、任意に、前記洗浄されたマイクロエレクトロニクスデバイスを製品に組み込む工程とを含む方法に関する。   In yet another aspect, the invention is a method of manufacturing a microelectronic device, sufficient to at least partially remove the photoresist material from a microelectronic device having bulk and cured photoresist material thereon. Contacting the microelectronic device with the SAM-containing composition in a short time, the SAM-containing composition comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one A method comprising a surfactant and optionally incorporating the cleaned microelectronic device into a product.

本発明の他の態様、特徴、及び実施形態は、以下の開示及び添付の特許請求の範囲からより十分に明らかになるだろう。   Other aspects, features, and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.

発明及び好適な実施形態の詳細な説明
本発明は、下層のケイ素含有層の一体性を維持しつつ、マイクロエレクトロニクスデバイスの表面からのバルク及び硬化フォトレジストの除去に非常に有効である自己組織化単分子膜(SAM)含有組成物の発見に基づいている。
Detailed Description of the Invention and Preferred Embodiments The present invention is a self-assembly that is highly effective in removing bulk and hardened photoresist from the surface of a microelectronic device while maintaining the integrity of the underlying silicon-containing layer. It is based on the discovery of monolayer (SAM) containing compositions.

本明細書において用いられる「バルクフォトレジスト」は、マイクロエレクトロニクスデバイス表面上の、具体的には、硬化フォトレジストクラストの下側に隣接した非炭化フォトレジストに相当する。   As used herein, “bulk photoresist” corresponds to a non-carbonized photoresist on the surface of a microelectronic device, specifically adjacent to the underside of the cured photoresist crust.

本明細書において用いられる「硬化フォトレジスト」は、例えば集積回路のバックエンドオブライン(back−end−of−line)(BEOL)デュアルダマシン処理の間にプラズマエッチングされたフォトレジスト、例えば半導体ウェーハの適切な層内にドーパント種を注入するためのフロントエンドオブライン(front−end−of−line)(FEOL)処理の間にイオン注入されたフォトレジスト、及び/又は、炭化又は高架橋クラストがバルクフォトレジストの露出した表面上に形成するいかなる他の手法によるフォトレジストをも含むが、これらに限定されない。   As used herein, a “cured photoresist” refers to a photoresist that has been plasma etched during, for example, a back-end-of-line (BEOL) dual damascene process of an integrated circuit, such as a suitable semiconductor wafer. Photoresist that has been ion-implanted during front-end-of-line (FEOL) processing and / or carbonized or highly cross-linked crusts in the bulk photoresist to inject dopant species into the layer This includes, but is not limited to, photoresist by any other technique that forms on the exposed surface.

本明細書において用いられる「下層のケイ素含有」層は、ケイ素;ゲート酸化物(例えば、熱的に又は化学的に成長させたSiO)及びTEOSを含む酸化ケイ素;窒化ケイ素;並びにlow−kケイ素含有材料を含むバルクフォトレジスト及び/又は硬化フォトレジストのすぐ下の層に相当する。ここで定義されるような「low−kケイ素含有材料」は、約3.5未満の誘電率を有する、層状マイクロエレクトロニクスデバイス内で誘電体材料として用いられるいかなる材料にも相当する。好ましくは、low−k誘電体材料として、ケイ素含有有機ポリマー、ケイ素含有混成有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ化ケイ酸塩ガラス(fluorinated silicate glass)(FSG)、二酸化ケイ素、及び炭素ドープ酸化物(CDO)ガラスなどの低極性材料が挙げられる。low−k誘電体材料が、多様な密度及び多様な多孔度を有し得ることは理解されるべきである。 As used herein, “underlying silicon-containing” layers include silicon; gate oxide (eg, thermally or chemically grown SiO 2 ) and silicon oxide including TEOS; silicon nitride; and low-k Corresponds to the layer immediately below the bulk photoresist and / or hardened photoresist containing the silicon-containing material. A “low-k silicon-containing material” as defined herein corresponds to any material used as a dielectric material in a layered microelectronic device having a dielectric constant of less than about 3.5. Preferably, as a low-k dielectric material, silicon-containing organic polymer, silicon-containing hybrid organic / inorganic material, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), Low polarity materials such as silicon dioxide and carbon-doped oxide (CDO) glass may be mentioned. It should be understood that low-k dielectric materials can have a variety of densities and a variety of porosities.

「マイクロエレクトロニクスデバイス」は、マイクロエレクトロニクス、集積回路、又はコンピュータチップ用途における使用のために製造された、半導体基板、フラットパネルディスプレイ、及びマイクロエレクトロメカニカルシステム(MEMS)に相当する。「マイクロエレクトロニクスデバイス」という用語は決して限定を意図されず、最終的にマイクロエレクトロニクスデバイス又はマイクロエレクトロニクスアセンブリになるいかなる基板をも含むことが理解されるべきである。   “Microelectronic devices” correspond to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS) manufactured for use in microelectronics, integrated circuits, or computer chip applications. It should be understood that the term “microelectronic device” is in no way intended to be limiting and includes any substrate that eventually becomes a microelectronic device or microelectronic assembly.

本明細書で定義される「実質的にオーバーエッチングする」ことは、隣接した下層のケイ素含有層を有するマイクロエレクトロニクスデバイスに本発明のプロセスによる本発明のSAM含有組成物が接触した後での、その下層の約10%よりも大きな除去、より好ましくは約5%よりも大きな除去、最も好ましくは約2%よりも大きな除去に相当する。換言すれば、最も好ましくは下層のケイ素含有層の2%以下が、本発明の組成物を用いて所定時間エッチングされる。   As defined herein, “substantially overetching” means after contacting a microelectronic device having an adjacent underlying silicon-containing layer with a SAM-containing composition of the invention according to the process of the invention. This corresponds to greater than about 10% removal of the underlying layer, more preferably greater than about 5% removal, and most preferably greater than about 2% removal. In other words, most preferably 2% or less of the underlying silicon-containing layer is etched for a predetermined time using the composition of the present invention.

本明細書において用いられる「約」は、記載された値の±5%に相当することが意図される。   As used herein, “about” is intended to correspond to ± 5% of the stated value.

本明細書において用いられる、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去するための「適性」は、マイクロエレクトロニクスデバイスからの前記フォトレジスト材料の少なくとも部分的な除去に相当する。好ましくは、フォトレジスト材料の少なくとも90%が、本発明の組成物を用いてマイクロエレクトロニクスデバイスから除去され、より好ましくはフォトレジスト材料の少なくとも95%、最も好ましくはフォトレジスト材料の少なくとも99%が、除去される。   As used herein, “adequacy” for removing the photoresist material from a microelectronic device having bulk and cured photoresist material thereon is at least part of the photoresist material from the microelectronic device. Corresponds to removal. Preferably, at least 90% of the photoresist material is removed from the microelectronic device using the composition of the present invention, more preferably at least 95% of the photoresist material, most preferably at least 99% of the photoresist material, Removed.

本明細書において用いられる「高密度流体」は、超臨界流体又は亜臨界流体に相当する。「超臨界流体」という用語は、対象とする化合物の圧力−温度図における臨界温度T以上、及び臨界圧力P以上の条件下にある物質を示すために、ここで用いられる。本発明で採用される好ましい超臨界流体はCOであり、これは単独で、又は、Ar、NH、N、CH、C、CHF、C、n−C、HO、NOなどの別の添加剤との混合物で用いられる。「亜臨界流体」という用語は、亜臨界状態、すなわち、その特定の溶媒に関する臨界温度未満及び/又は臨界圧力未満の溶媒を表す。好ましくは、亜臨界流体は、多様な密度の高圧液体である。 As used herein, “dense fluid” corresponds to a supercritical fluid or a subcritical fluid. The term “supercritical fluid” is used herein to indicate a material that is under conditions above the critical temperature T c and above the critical pressure P c in the pressure-temperature diagram of the compound of interest. Preferred supercritical fluid employed in the present invention is CO 2, which is alone or, Ar, NH 3, N 2 , CH 4, C 2 H 4, CHF 3, C 2 H 6, n-C Used in a mixture with another additive such as 3 H 8 , H 2 O, N 2 O. The term “subcritical fluid” refers to a solvent that is in a subcritical state, ie, below the critical temperature and / or below the critical pressure for that particular solvent. Preferably, the subcritical fluid is a high pressure liquid of varying density.

重要なことに、本発明のSAM含有組成物は良好な金属含有材料適合性、例えば、金属含有材料上での低エッチング速度を有しなければならない。興味のある金属含有材料としては、銅、タングステン、コバルト、アルミニウム、タンタル、チタン、及びルテニウム、それらのケイ化物及び窒化物が挙げられるが、これらに限定されない。   Importantly, the SAM-containing composition of the present invention must have good metal-containing material compatibility, for example, a low etch rate on the metal-containing material. Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium, and ruthenium, their silicides, and nitrides.

自己組織化単分子膜(SAM)が、金属(例えば、銅、金など)、並びに、チタン、ハフニウム、ケイ素、及びアルミニウムの酸化物を含むがこれらに限定されない様々な表面を不動態化することが知られている。SAMは、少なくとも1つの脱離基を有するシラン、例えばハロゲン化物を有するシランを含み、前記シランは、ケイ素含有表面上の酸素基において共有結合を容易に形成する(すなわち、シリル化反応によって)。シラン自体は、ポリエチレングリコール(PEG)などの共有結合した不活性分子を更に含有することができ、それによって、ケイ素含有表面との結合後、PEG−シランは、他の分子が前記表面と結合するのを阻止することができる。PEG−シランSAMは、それらが薄く(すなわち、かさばらず)親水性であり、PEG分子のケイ素含有表面との結合が非粘着性水状層を形成するので、よく知られている。その一方で、必要であればアルキルクロロシランを用いて疎水性表面を形成することができる。   Self-assembled monolayers (SAMs) passivate metals (eg, copper, gold, etc.) and various surfaces including but not limited to oxides of titanium, hafnium, silicon, and aluminum. It has been known. The SAM includes a silane having at least one leaving group, such as a silane having a halide, which readily forms a covalent bond at an oxygen group on the silicon-containing surface (ie, via a silylation reaction). The silane itself can further contain a covalently bound inert molecule such as polyethylene glycol (PEG), so that after binding to a silicon-containing surface, the PEG-silane binds other molecules to the surface. Can be prevented. PEG-silane SAMs are well known because they are thin (ie, not bulky) hydrophilic and the association of the PEG molecules with the silicon-containing surface forms a non-tacky aqueous layer. On the other hand, if necessary, a hydrophobic surface can be formed using alkylchlorosilane.

本発明の組成物は、下記に更に十分に説明するように、多種多様な特定の配合物で具体化することができる。   The compositions of the present invention can be embodied in a wide variety of specific formulations, as described more fully below.

組成物の特定の成分がゼロの下限を含む質量パーセントの範囲について説明されるような全ての組成物において、それらの成分が、その組成物の様々な特定の実施形態において存在しても存在していなくてもよく、かつ、それらの成分が存在する場合、それらの成分が採用される組成物の総質量を基準にして、0.01質量%という低い濃度で存在してもよいことが理解されるであろう。   In all compositions where a particular component of the composition is described for a mass percent range that includes a lower limit of zero, that component is present even if present in various particular embodiments of that composition. And, if present, that component may be present at a concentration as low as 0.01% by weight, based on the total weight of the composition employed. Will be done.

一つの態様において、本発明は、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去するのに有用な液状のSAM含有組成物に関する。一つの実施形態による液状の組成物は、少なくとも1つのSAM成分と、任意に少なくとも1つの溶媒と、任意に少なくとも1つの触媒と、任意に少なくとも1つの界面活性剤とを含む。別の実施形態による液状の組成物は、少なくとも1つのSAM成分と、少なくとも1つの触媒と、任意に少なくとも1つの溶媒と、任意に少なくとも1つの界面活性剤とを含む。更に別の実施形態による液状の組成物は、少なくとも1つのSAM成分と、少なくとも1つの溶媒と、少なくとも1つの触媒と、任意に少なくとも1つの界面活性剤とを含む。重要なことには、選択される溶媒の性質によって、溶媒は同時に触媒として作用してもよい。   In one aspect, the present invention relates to a liquid SAM-containing composition useful for removing bulk and cured photoresists from microelectronic devices. A liquid composition according to one embodiment includes at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant. A liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant. A liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant. Importantly, depending on the nature of the solvent selected, the solvent may simultaneously act as a catalyst.

一つの実施形態において、本発明は、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去するのに有用な液状のSAM含有組成物であって、触媒が同時に溶媒として作用する液状のSAM含有組成物に関する。この実施形態による液状の組成物は、組成物の総質量を基準として、下記の範囲内で存在する少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む。   In one embodiment, the present invention relates to a liquid SAM-containing composition useful for removing bulk and cured photoresist from a microelectronic device, wherein the catalyst simultaneously acts as a solvent. . The liquid composition according to this embodiment comprises, based on the total mass of the composition, at least one catalyst, at least one SAM component, and optionally at least one surfactant present within the following range: .

Figure 2008538013
Figure 2008538013

特に好ましい実施形態において、本発明は、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去するのに有用な液状のSAM含有組成物に関する。この実施形態による液状の組成物は、組成物の総質量を基準として、下記の範囲内で存在する少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む。   In particularly preferred embodiments, the present invention relates to liquid SAM-containing compositions useful for removing bulk and hardened photoresists from microelectronic devices. The liquid composition according to this embodiment comprises, based on the total mass of the composition, at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one existing within the following range: And a surfactant.

Figure 2008538013
Figure 2008538013

一つの態様において、液状のSAM含有組成物中におけるSAM対触媒のモル比の範囲は、約1:10から約5:1、より好ましくは約1:5から約1:1であり;SAM対液状の溶媒のモル比の範囲は、約1:200から約1:50、より好ましくは約1:125から約1:75であり;SAM対界面活性剤(存在する場合)のモル比の範囲は、約1:10から約5:1である。   In one embodiment, the range of the molar ratio of SAM to catalyst in the liquid SAM-containing composition is from about 1:10 to about 5: 1, more preferably from about 1: 5 to about 1: 1; The range of the molar ratio of the liquid solvent is from about 1: 200 to about 1:50, more preferably from about 1: 125 to about 1:75; the range of the molar ratio of SAM to surfactant (if present) Is about 1:10 to about 5: 1.

本発明の広い実施において、液状のSAM含有組成物は、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤と、を含んでもよく、それらからなってもよく、それらから本質的になってもよい。一般に、溶媒、触媒、SAM成分及び任意の界面活性剤の特定の割合及び量は、過度の労力を伴わずに当該技術範囲内で容易に決定できるよう、バルク及び硬化フォトレジスト及び/又は処理設備で液状のSAM含有組成物の所望の除去作用をもたらすように、相互の関連で適切に変化させることができる。   In a broad implementation of the invention, the liquid SAM-containing composition may comprise at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. Or may consist essentially of them. In general, bulk and cured photoresists and / or processing equipment so that specific proportions and amounts of solvents, catalysts, SAM components and optional surfactants can be readily determined within the skill in the art without undue effort. Can be appropriately varied in relation to each other to provide the desired removal effect of the liquid SAM-containing composition.

本発明の組成物に有用な溶媒種は、実際に非極性であっても極性であってもよい。非極性種の例示としては、トルエン、デカン、ドデカン、オクタン、ペンタン、ヘキサン、テトラヒドロフラン(THF)、及び二酸化炭素(亜臨界又は超臨界)が挙げられるが、これらに限定されない。極性種の例示としては、メタノール、エタノール、イソプロパノール、N−メチルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、ジメチルスルホキシド(DMSO)、スルホラン、乳酸エチル、酢酸エチル、トルエン、アセトン、メチルカルビトール、ブチルカルビトール、ヘキシルカルビトール、モノエタノールアミン、ブチロールラクトン、ジグリコールアミン、フッ化アルキルアンモニウム、γ−ブチロラクトン、ブチレンカーボネート、エチレンカーボネート、及びプロピレンカーボネート、並びにそれらの混合物が挙げられる。好ましくは、溶媒は非極性種を含む。トルエンが特に好ましい。   Solvent species useful in the compositions of the present invention may actually be nonpolar or polar. Examples of non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF), and carbon dioxide (subcritical or supercritical). Examples of polar species include methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethyl sulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, Examples include butyl carbitol, hexyl carbitol, monoethanolamine, butyrollactone, diglycolamine, alkylammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate, and mixtures thereof. Preferably the solvent comprises a nonpolar species. Toluene is particularly preferred.

SAM成分は、(RO)SiX、(RO)SiX、(RO)SiXを含むアルコキシハロシランを含んでもよく、ここで、Xは互いに同一であっても異なっていてもよく、F、Cl、Br、又はIからなる群より選択され、ROは互いに同一であっても異なっていてもよく、メトキシ、エトキシ、プロポキシなど、又はそれらの組合せのような直鎖又は分岐C〜C20アルコキシ種からなる群より選択される。好ましくは、SAM成分は、(R)SiX、(R)SiX、(R)SiXという種類のアルキルハロシランを含み、ここで、Xは互いに同一であっても異なっていてもよく、F、Cl、Br、又はIからなる群より選択され、Rは互いに同一であっても異なっていてもよく、メチル、エチル、プロピル、ブチル、オクチル、デシル、ドデシルなど、又はそれらの組合せのような直鎖、分岐、又は環状C〜C20アルキル種からなる群より選択される。フッ素化アルキル誘導体及びフッ素化アルコキシ誘導体が用いられてもよい。好ましくは、SAM成分はアルキルハロシランを含み、ここで、X=Cl及びR=メチルである。別の代替例において、SAM成分はそれに結合したPEG分子を有する。 The SAM component may include alkoxyhalosilanes including (RO) 3 SiX, (RO) 2 SiX 2 , (RO) SiX 3 , where X may be the same or different from each other, F , Cl, Br, or I, ROs may be the same or different from each other, and linear or branched C 1 -C such as methoxy, ethoxy, propoxy, etc., or combinations thereof Selected from the group consisting of 20 alkoxy species. Preferably, the SAM component comprises alkylhalosilanes of the type (R) 3 SiX, (R) 2 SiX 2 , (R) SiX 3 , where X may be the same or different from each other , F, Cl, Br, or I, and R may be the same or different from each other, methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof linear as, branched, or is selected from the group consisting of cyclic C 1 -C 20 alkyl species. Fluorinated alkyl derivatives and fluorinated alkoxy derivatives may be used. Preferably, the SAM component comprises an alkylhalosilane, where X = Cl and R = methyl. In another alternative, the SAM component has a PEG molecule attached to it.

理論に拘束されることを望まないが、触媒は、シリル化反応を開始し下層のケイ素含有層の不動態化を加速するために、本発明の組成物に含まれる。好ましくは、触媒として、トリメチルアミン、トリエチルアミン、ブチルアミン、ピリジンなどのアミン、及びSAM成分からハロゲン脱離基を除去する補助となる他の求核性化合物が挙げられる。アミン触媒が、インサイチュ(in situ)シリル化反応を促進し、それによって、SAMシランが下層のケイ素含有層上の酸素原子に共有結合し、同時にプロトン化脱離基、例えばHXの発生を伴うと考えられる。したがって、下層のケイ素含有層は共有結合したシランによって不動態化される一方で、発生したプロトン化脱離基が硬化フォトレジスト材料の除去のために利用可能である。重要なことには、選択される溶媒の性質によって、溶媒は同時に触媒として作用し得る。   Without wishing to be bound by theory, a catalyst is included in the composition of the present invention to initiate the silylation reaction and accelerate the passivation of the underlying silicon-containing layer. Preferably, the catalyst includes amines such as trimethylamine, triethylamine, butylamine, pyridine, and other nucleophilic compounds that assist in removing the halogen leaving group from the SAM component. If the amine catalyst promotes in situ silylation reaction, whereby the SAM silane is covalently bonded to the oxygen atom on the underlying silicon-containing layer and at the same time accompanied by the generation of a protonated leaving group such as HX Conceivable. Thus, the underlying silicon-containing layer is passivated by the covalently bonded silane, while the generated protonated leaving group is available for removal of the cured photoresist material. Importantly, depending on the nature of the solvent selected, the solvent can simultaneously act as a catalyst.

本発明の液状のSAM含有組成物は、マイクロエレクトロニクスデバイスの表面からのレジストの除去を助けるために、界面活性剤を更に含んでもよい。界面活性剤の例示としては、フルオロアルキル界面活性剤、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレン又はポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸又はその塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーンポリマー又は変性シリコーンポリマー、アセチレンジオール又は変性アセチレンジオール、アルキルアンモニウム塩又は変性アルキルアンモニウム塩、及び上記界面活性剤の組合せが挙げられるが、これらに限定されない。   The liquid SAM-containing composition of the present invention may further comprise a surfactant to help remove the resist from the surface of the microelectronic device. Examples of surfactants include fluoroalkyl surfactants, polyethylene glycol, polypropylene glycol, polyethylene or polypropylene glycol ether, carboxylates, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicones Examples include, but are not limited to, polymers or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium salts or modified alkylammonium salts, and combinations of the above surfactants.

好ましい実施形態において、液状のSAM含有組成物は、組成物の総質量を基準として、約1質量%未満の水、より好ましくは約0.5質量%未満の水、最も好ましくは約0.25質量%未満の水を含む。さらに好ましくは、少なくとも1つのSAM成分はマイクロエレクトロニクスデバイス表面で実質的な重合を経由しない。例えば、好ましくはSAM成分の5質量%未満がマイクロエレクトロニクスデバイス表面において重合し、より好ましくはSAM成分の2質量%未満、さらに好ましくは1質量%未満、最も好ましくは0.1質量%未満が、マイクロエレクトロニクスデバイス表面において重合する。   In a preferred embodiment, the liquid SAM-containing composition is less than about 1% water, more preferably less than about 0.5% water, most preferably about 0.25%, based on the total weight of the composition. Contains less than mass% water. More preferably, the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface. For example, preferably less than 5% by weight of the SAM component polymerizes on the surface of the microelectronic device, more preferably less than 2% by weight of the SAM component, even more preferably less than 1% by weight, most preferably less than 0.1% by weight, Polymerizes on the surface of microelectronic devices.

一般に、少なくとも1つの溶媒、少なくとも1つの触媒、少なくとも1つのSAM成分及び任意に少なくとも1つの界面活性剤の特定の割合及び量は、マイクロエレクトロニクスデバイスから除去されるべきバルク及び硬化フォトレジストについて、液状のSAM含有組成物の所望の洗浄作用及び不動態化作用をもたらすように、相互の関連で適切に変化させることができる。そのような特定の割合及び量は、過度の労力を伴わずに当該技術の範囲内で簡単な実験によって容易に決定できる。最も好ましくは、SAM含有成分及び触媒は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスからその材料を除去するのに効果的な量で存在する。   In general, specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant are liquid for the bulk and cured photoresist to be removed from the microelectronic device. Can be appropriately varied in relation to each other to provide the desired cleaning and passivating effects of the SAM-containing composition. Such specific proportions and amounts can be readily determined by simple experimentation within the skill of the art without undue effort. Most preferably, the SAM-containing component and the catalyst are present in an amount effective to remove the material from the microelectronic device having the bulk and cured photoresist material thereon.

「マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジスト材料を除去する」という句が、決して限定を意図せず、最終的にマイクロエレクトロニクスデバイスになるいかなる基板からのバルク及び硬化フォトレジスト材料の除去をも含むことが理解されるべきである。   The phrase “removing the bulk and cured photoresist material from the microelectronic device” is not intended to be limiting in any way and includes the removal of the bulk and cured photoresist material from any substrate that eventually becomes a microelectronic device. Should be understood.

本発明の液状のSAM含有組成物を用いて、硬化フォトレジスト、例えばBEOL硬化フォトレジスト、底部反射防止コーティング(BARC)材料、CMP後の残留物、BARC残留物、及び/又は灰化後/エッチング後のフォトレジストを除去し、同時に、下層のケイ素含有層、又は不動態化を必要とするヒドロキシル末端基を有するいかなるその他の親水性表面をも不動態化することも、ここで企図される。さらに、本発明の液状のSAM含有組成物を用いて、フォトマスク材料の再使用のためにその材料から汚染材料を除去してもよい。   Using the liquid SAM-containing composition of the present invention, cured photoresists such as BEOL cured photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue, and / or post-ashing / etching It is also contemplated herein to remove the later photoresist while at the same time passivating the underlying silicon-containing layer or any other hydrophilic surface having hydroxyl end groups that require passivation. Furthermore, the liquid SAM-containing composition of the present invention may be used to remove contaminants from the material for reuse of the photomask material.

本発明の液状のSAM含有組成物は、組成物の不動態化及び除去能力を更に向上させるために、あるいはそうでなければ組成物の特徴を向上させる、すなわち、金属不動態化をもたらすために、任意に、追加の成分を配合されてもよい。したがって、組成物は、安定剤、錯化剤、不動態化剤、例えば、Cu不動態化剤及び/又は腐食抑制剤を配合されてもよい。   The liquid SAM-containing composition of the present invention may be used to further improve the passivation and removal capabilities of the composition or otherwise improve the composition characteristics, i.e., to provide metal passivation. Optionally, additional ingredients may be formulated. Thus, the composition may be formulated with stabilizers, complexing agents, passivating agents such as Cu passivating agents and / or corrosion inhibitors.

本発明の液状のSAM含有組成物は、穏やかな撹拌による溶媒、触媒、SAM成分及び任意の界面活性剤の混合によって、容易に配合される。溶媒、触媒、SAM成分及び任意の界面活性剤は、シングルパッケージ配合物、又は使用時点で混合される多成分配合物として、容易に配合される。多成分配合物の個別の成分は、ツールにおいて、又はツール上流の貯蔵タンク内で混合することができる。本発明の広い実施において、シングルパッケージ配合物又は多成分配合物の個別の成分の濃度は、特定の倍数で広く変えることができ、すなわち、より希釈されるか又はより濃縮され、本発明の液状のSAM含有組成物が、多様に及び代替として、ここでの開示と一致する成分のいかなる組合せを含んでもよく、それらからなってもよく、それらから本質的になってもよいことが理解されるであろう。   The liquid SAM-containing composition of the present invention is easily formulated by mixing the solvent, catalyst, SAM component and optional surfactant with gentle agitation. The solvent, catalyst, SAM component and optional surfactant are easily formulated as a single package formulation or a multi-component formulation that is mixed at the point of use. The individual components of the multi-component formulation can be mixed in the tool or in a storage tank upstream of the tool. In a broad implementation of the invention, the concentration of individual components of a single package formulation or a multi-component formulation can vary widely by a specific multiple, i.e., more dilute or more concentrated, and the liquid form of the present invention. It is understood that the SAM-containing composition of the present invention may include, consist of, or consist essentially of any combination of ingredients that are diverse and alternatively consistent with the disclosure herein. Will.

したがって、本発明の別の態様は、本発明の組成物を形成するように構成された1つ以上の成分を1つ以上の容器内に含むキットに関する。好ましくは、キットは、製造工場において少なくとも1つの触媒と組み合わせるための、少なくとも1つの溶媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを1つ以上の容器内に含む。別の実施形態によれば、キットは、製造工場において少なくとも1つの溶媒及び少なくとも1つの触媒と組み合わせるための、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを、1つ以上の容器内に含む。更に別の実施形態において、キットは、製造工場において組み合わせるため、溶媒中の少なくとも1つのSAM成分を1つの容器内に含み、溶媒中の少なくとも1つの触媒を別の容器内に含む。例えば、キットの容器は、ナウパック(NOWPak)(登録商標)容器(米国コネチカット州ダンベリーのアドバンスト・テクノロジー・マテリアルズ・インコーポレイテッド(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))であってもよい。   Accordingly, another aspect of the invention relates to a kit comprising one or more components configured to form a composition of the invention in one or more containers. Preferably, the kit comprises at least one solvent, at least one SAM component, and optionally at least one surfactant in one or more containers for combination with at least one catalyst in a manufacturing plant. According to another embodiment, the kit comprises at least one SAM component and optionally at least one surfactant for combination with at least one solvent and at least one catalyst in a manufacturing plant. In a container. In yet another embodiment, the kit includes at least one SAM component in a solvent in one container and at least one catalyst in the solvent in another container for combination in a manufacturing plant. For example, the kit container is a NOWPak® container (Advanced Technology Materials, Inc., Danbury, Conn., USA), Danbury, Connecticut, USA. Also good.

更に別の実施形態において、本発明は、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去するのに有用な液状のSAM含有組成物であって、液状のSAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤と、フォトレジスト残留物質とを含み、フォトレジストがバルク及び/又は硬化フォトレジストである、液状のSAM含有組成物に関する。重要なことには、残留物質を本発明の液状のSAM含有組成物に溶解してもよく、及び/又は、懸濁させてもよい。なおも別の実施形態において、フォトレジスト残留物質は、ホウ素イオン、ヒ素イオン、リンイオン、インジウムイオン及びアンチモンイオンからなる群より選択されるイオンを含む。   In yet another embodiment, the present invention is a liquid SAM-containing composition useful for removing bulk and cured photoresist from a microelectronic device, wherein the liquid SAM-containing composition comprises at least one solvent and A liquid SAM-containing composition comprising at least one catalyst, at least one SAM component, optionally at least one surfactant, and a photoresist residue, wherein the photoresist is a bulk and / or cured photoresist Related to things. Importantly, the residual material may be dissolved and / or suspended in the liquid SAM-containing composition of the present invention. In yet another embodiment, the photoresist residue includes ions selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.

更に別の態様において、本発明は、主溶媒系として、高密度流体、例えば超臨界流体(SCF)を含む高密度SAM含有組成物に関する。その容易に製造される特徴、その毒性の欠如及び無視できるほどの環境影響のため、超臨界二酸化炭素(SCCO)が好ましいSCFである。SCCOは液体及び気体の両方の特徴を有するので、SCCOはマイクロエレクトロニクスデバイスプロセス汚染物の除去のための魅力的な試薬である。気体のように、それは急速に拡散し、低粘度、ほぼゼロの表面張力を有し、深いトレンチ及びビア内に容易に浸透する。液体のように、それは「洗浄」媒体としてのバルク流能力を有する。SCCOは有機溶媒に匹敵する密度を有し、また、リサイクル可能であるという利点を有するので、廃棄物保管及び処理の要件を最小化する。 In yet another aspect, the present invention relates to a dense SAM-containing composition comprising a dense fluid, such as a supercritical fluid (SCF), as the main solvent system. Supercritical carbon dioxide (SCCO 2 ) is the preferred SCF because of its easily manufactured characteristics, its lack of toxicity and negligible environmental impact. Since SCCO 2 has both liquid and gaseous characteristics, SCCO 2 is an attractive reagent for the removal of microelectronic device process contaminants. Like gas, it diffuses rapidly, has low viscosity, nearly zero surface tension, and easily penetrates into deep trenches and vias. Like a liquid, it has a bulk flow capability as a “cleaning” medium. SCCO 2 has a density comparable to organic solvents and has the advantage of being recyclable, thus minimizing waste storage and processing requirements.

一つの実施形態による高密度SAM含有組成物は、組成物の総質量を基準として、下記の範囲内で、SCCOと、液状のSAM含有組成物、すなわち、SAM含有濃縮物とを含み、

Figure 2008538013
液状のSAM含有組成物は、約75.0%〜約90.0%の共溶媒と、約0.01%〜約10.0%のSAM成分と、約0.01%〜約10.0%の触媒と、任意に0〜約10.0%の界面活性剤とを含み、企図される共溶媒、SAM成分、触媒及び任意の界面活性剤は上述の種を含む。 A high density SAM-containing composition according to one embodiment comprises SCCO 2 and a liquid SAM-containing composition, ie a SAM-containing concentrate, within the following range, based on the total mass of the composition:
Figure 2008538013
The liquid SAM-containing composition comprises about 75.0% to about 90.0% co-solvent, about 0.01% to about 10.0% SAM component, and about 0.01% to about 10.0. % Catalyst and optionally 0 to about 10.0% surfactant, and contemplated co-solvents, SAM components, catalysts and optional surfactants include the species described above.

一つの態様において、高密度SAM含有組成物中の、液状のSAM含有組成物対SCCOのモル比の範囲は、約1:200から約1:4、より好ましくは約1:100から約1:6である。 In one embodiment, the molar ratio range of the liquid SAM-containing composition to SCCO 2 in the high-density SAM-containing composition ranges from about 1: 200 to about 1: 4, more preferably from about 1: 100 to about 1. : 6.

本発明の広い実施において、高密度SAM含有組成物は、SCCOと、液状のSAM含有組成物、すなわち、少なくとも1つの追加の溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含んでもよく、それらからなってもよく、それらから本質的になってもよい。一般に、SCCO及び液状のSAM含有組成物の特定の割合及び量は、過度の労力を伴わずに当該技術範囲内で容易に決定できるよう、バルク及び硬化フォトレジスト及び/又は処理設備で高密度SAM含有組成物の所望の除去作用をもたらすように、相互の関連で適切に変化させることができる。重要なことには、液状のSAM含有組成物を高密度SAM含有組成物の高密度流体中に少なくとも部分的に溶解してもよく、及び/又は懸濁させてもよい。 In a broad implementation of the invention, the high density SAM-containing composition comprises SCCO 2 , a liquid SAM-containing composition, ie, at least one additional solvent, at least one catalyst, at least one SAM component, and optionally May comprise, consist of, or consist essentially of at least one surfactant. In general, the specific proportions and amounts of SCCO 2 and liquid SAM-containing compositions are high density in bulk and cured photoresists and / or processing equipment so that they can be readily determined within the skill of the art without undue effort. Appropriate changes can be made in relation to each other to provide the desired removal effect of the SAM-containing composition. Importantly, the liquid SAM-containing composition may be at least partially dissolved and / or suspended in the dense fluid of the dense SAM-containing composition.

更に別の実施形態において、本発明は、マイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去するのに有用な高密度SAM含有組成物であって、高密度SAM含有組成物が、SCCOと、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤と、フォトレジスト残留物質とを含み、フォトレジストがバルク及び/又は硬化フォトレジストである、高密度SAM含有組成物に関する。重要なことには、残留物質を本発明の高密度SAM含有組成物に溶解してもよく、及び/又は懸濁させてもよい。なおも別の実施形態において、フォトレジスト残留物質は、ホウ素イオン、ヒ素イオン、リンイオン、インジウムイオン、及びアンチモンイオンからなる群より選択されるイオンを含む。 In yet another embodiment, the present invention is a high density SAM-containing composition useful for removing bulk and hardened photoresist from a microelectronic device, wherein the high density SAM-containing composition comprises at least SCCO 2 and A high solvent comprising at least one catalyst, at least one catalyst, at least one SAM component, optionally at least one surfactant, and a photoresist residue, wherein the photoresist is a bulk and / or cured photoresist; It relates to a density SAM-containing composition. Importantly, the residual material may be dissolved and / or suspended in the high density SAM-containing composition of the present invention. In yet another embodiment, the photoresist residue includes ions selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.

本発明の高密度SAM含有組成物を使用して、硬化フォトレジスト、例えばBEOL硬化フォトレジスト、底部反射防止コーティング(BARC)材料、CMP後の残留物、BARC残留物、及び/又は灰化後の/エッチング後のフォトレジストを除去し、同時に、下層のケイ素含有層、又は不動態化を必要とするヒドロキシル末端基を有するいかなる他の親水性表面を不動態化することができることも、ここで企図される。さらに、本発明の高密度SAM含有組成物を使用して、再使用のためフォトマスク材料から汚染材料を除去することができる。   Using the high density SAM-containing composition of the present invention, a cured photoresist, such as BEOL cured photoresist, bottom antireflective coating (BARC) material, post-CMP residue, BARC residue, and / or post-ashing It is also contemplated herein that the post-etch photoresist can be removed and at the same time passivating the underlying silicon-containing layer or any other hydrophilic surface with hydroxyl end groups that require passivation. Is done. In addition, the dense SAM-containing composition of the present invention can be used to remove contaminating material from a photomask material for reuse.

更に別の態様において、本発明は、本明細書に記載のSAM含有組成物を用いた、マイクロエレクトロニクスデバイスからのバルク及び硬化フォトレジストの除去の方法に関する。例えば、SAM不動態化を用いて、下層のケイ素含有層の構造的一体性を維持しつつ、パターン化されたデバイス上のトレンチ構造及びビア構造を洗浄することができる。SAM含有組成物を、一工程又は多工程除去プロセスで用いてもよいことが、当業者によって理解されるべきである。   In yet another aspect, the invention relates to a method for removal of bulk and hardened photoresist from microelectronic devices using the SAM-containing compositions described herein. For example, SAM passivation can be used to clean trench and via structures on patterned devices while maintaining the structural integrity of the underlying silicon-containing layer. It should be understood by those skilled in the art that the SAM-containing composition may be used in a one-step or multi-step removal process.

本発明のSAM含有組成物は、下層のケイ素含有層を可逆的に不動態化し、同時に、その上に堆積したバルク及び硬化フォトレジストを除去することによって、従来の除去技術の欠点を克服する。   The SAM-containing composition of the present invention overcomes the disadvantages of conventional removal techniques by reversibly passivating the underlying silicon-containing layer while simultaneously removing the bulk and hardened photoresist deposited thereon.

本発明の液状のSAM含有組成物は、例えば混合槽又は洗浄槽内での穏やかな撹拌下での、成分の簡単な混合によって容易に配合される。高密度SAM含有組成物は、適切な温度及び圧力における静的又は動的混合によって容易に配合される。   The liquid SAM-containing composition of the present invention is easily formulated by simple mixing of the components, for example, under gentle agitation in a mixing or washing tank. High density SAM-containing compositions are easily formulated by static or dynamic mixing at the appropriate temperature and pressure.

不動態化及び除去の用途において、液状のSAM含有組成物は、その上にフォトレジスト材料を有するマイクロエレクトロニクスデバイスにいかなる適切な態様でも付与され、例えば、上記組成物をそのデバイスの表面上に噴霧することによって、フォトレジスト材料を含む上記デバイスの(ある体積の組成物中での)浸漬によって、上記組成物を染み込ませた別の材料、例えばパッド又は繊維状吸着剤塗布部材とそのデバイスとを接触させることによって、循環する上記除去組成物と材料を含むそのデバイスとを接触させることによって、あるいは、液状のSAM含有組成物がマイクロエレクトロニクスデバイス上のフォトレジスト材料に接触するいかなるその他の適切な手段、態様若しくは技術によっても付与される。不動態化及び除去の用途は、当業者によって容易に定められるように、静的であってもよく動的であってもよい。   In passivation and removal applications, the liquid SAM-containing composition can be applied in any suitable manner to a microelectronic device having a photoresist material thereon, for example, spraying the composition onto the surface of the device. By immersing (in a volume of the composition) the device containing the photoresist material, another material impregnated with the composition, such as a pad or a fibrous adsorbent application member, and the device By contacting the circulating removal composition with the device containing the material, or any other suitable means by which the liquid SAM-containing composition contacts the photoresist material on the microelectronic device. , Depending on the mode or technique. Passivation and removal applications may be static or dynamic, as readily determined by one skilled in the art.

その上にフォトレジスト材料を有するマイクロエレクトロニクスデバイス表面からその材料を除去するための本発明の組成物の使用の際、液状のSAM含有組成物は、典型的には、約1〜約60分の間、デバイス表面に接触し、好ましい時間はイオン注入の間に用いられるドーパントイオン線量及び注入エネルギーに依存し、ドーパントイオン線量及び/又は注入エネルギーが高いほど、必要とされる接触時間が長い。好ましくは、温度は約20℃〜約80℃の範囲内、好ましくは約30℃〜約80℃、最も好ましくは約70℃である。そのような接触時間及び温度は例示であり、本発明の広い実施の範囲内で、デバイス表面からフォトレジスト材料を少なくとも部分的に除去するのに有効であるいかなるその他の適切な時間及び温度条件も用いることができる。ここで定義される「少なくとも部分的な除去」は、バルク及び硬化フォトレジストの少なくとも90%の除去、好ましくは少なくとも95%の除去に相当する。最も好ましくは、前記バルク及び硬化フォトレジスト材料の少なくとも99%が、本発明の組成物を用いて除去される。   In using the composition of the present invention to remove the material from the surface of the microelectronic device having the photoresist material thereon, the liquid SAM-containing composition is typically about 1 to about 60 minutes. While the device surface is in contact, the preferred time depends on the dopant ion dose and implantation energy used during ion implantation, the higher the dopant ion dose and / or implantation energy, the longer the contact time required. Preferably, the temperature is in the range of about 20 ° C to about 80 ° C, preferably about 30 ° C to about 80 ° C, and most preferably about 70 ° C. Such contact times and temperatures are exemplary, and any other suitable time and temperature conditions that are effective to at least partially remove the photoresist material from the device surface within the broad practice of the invention. Can be used. “At least partial removal” as defined herein corresponds to at least 90% removal, preferably at least 95% removal of bulk and hardened photoresist. Most preferably, at least 99% of the bulk and cured photoresist material is removed using the composition of the present invention.

所望の不動態化作用及び洗浄作用の達成後、マイクロエレクトロニクスデバイスを多量のエタノール及び/又はTHFで十分にすすいで、いかなる残留化学添加剤も除去することができる。   After achieving the desired passivating and cleaning effects, the microelectronic device can be thoroughly rinsed with a large amount of ethanol and / or THF to remove any residual chemical additives.

本発明のSAM含有組成物は、厚さが30〜70nmである硬化架橋炭化クラストを有する(2×1015Asイオンcm−2の)高ドープフォトレジスト(厚さ500〜700nm)の100%を選択的に除去する。重要なことに、硬化クラストは、下層のケイ素含有層を実質的にオーバーエッチングすることなく除去される。 The SAM-containing composition of the present invention comprises 100% of a highly doped photoresist (thickness 500-700 nm) (2 × 10 15 As ions cm −2 ) with a cured crosslinked carbonized crust that is 30-70 nm thick. Selectively remove. Importantly, the hardened crust is removed without substantially overetching the underlying silicon-containing layer.

高密度SAM含有組成物を用いる不動態化及び洗浄用途では、マイクロエレクトロニクスデバイス表面からのフォトレジストの少なくとも部分的な除去のため、その上にフォトレジストを有するマイクロエレクトロニクスデバイス表面は、適切な高圧、例えば加圧接触チャンバ内で高密度SAM含有組成物と接触するが、高密度SAM含有組成物が適切な体積(volumetric)速度及び量で加圧接触チャンバに供給されて、所望の接触操作が行われる。チャンバは、連続、パルス、又は静的洗浄のためのバッチ又はシングルウェーハチャンバであってもよい。高密度SAM含有組成物による硬化フォトレジストの不動態化及び除去は、フォトレジストの高密度SAM含有組成物との接触の間に高温及び/又は高圧条件を用いることによって向上させることができる。   For passivation and cleaning applications using high density SAM-containing compositions, the microelectronic device surface with the photoresist thereon is suitable high pressure, for at least partial removal of the photoresist from the microelectronic device surface. For example, contacting a dense SAM-containing composition in a pressurized contact chamber, but the dense SAM-containing composition is fed to the pressurized contact chamber at an appropriate volumetric rate and amount to effect the desired contact operation. Is called. The chamber may be a batch or single wafer chamber for continuous, pulsed, or static cleaning. Passivation and removal of the cured photoresist with the high density SAM-containing composition can be improved by using high temperature and / or high pressure conditions during contact of the photoresist with the high density SAM containing composition.

適切な高密度SAM含有組成物を用いて、約1,500〜約4,500psiの範囲内の圧力で、フォトレジストの所望の除去を行うのに十分な時間、例えば、約5分間〜約30分間の範囲内の接触時間、及び約40℃〜約75℃の温度、その上にフォトレジストを有するマイクロエレクトロニクスデバイス表面と接触させてもよいが、本発明の広い実施において、より大きな又はより小さな接触時間及び接触温度を有利に用いてもよい。   With a suitable high density SAM-containing composition, at a pressure in the range of about 1,500 to about 4,500 psi, for a time sufficient to effect the desired removal of the photoresist, for example, about 5 minutes to about 30. Contact times in the range of minutes, and temperatures from about 40 ° C. to about 75 ° C., may be contacted with microelectronic device surfaces having photoresist thereon, but in larger implementations of the invention, larger or smaller Contact time and contact temperature may be advantageously used.

高密度SAM含有組成物を用いる除去プロセスは、静的ソーク工程、動的洗浄モード工程、又は、マイクロエレクトロニクスデバイス表面上の高密度SAM含有組成物の動的流れとその後の高密度SAM含有組成物中におけるデバイスの静的ソークとを含む逐次処理工程を含んでもよく、そのような代替工程のサイクルにおいて、動的流れ工程及び静的ソーク工程のそれぞれが交互にかつ繰り返し行われる。   The removal process using a high density SAM-containing composition can be a static soak step, a dynamic cleaning mode step, or a dynamic flow of a high density SAM containing composition on a microelectronic device surface followed by a high density SAM containing composition. In the alternative process cycle, each of the dynamic flow process and the static soak process are alternately and repeatedly performed.

「動的」接触モードは、物質移動勾配を最大にし、表面からのレジストの完全な除去を行うために、デバイス表面上の組成物の連続流れを含む。「静的ソーク」接触モードは、デバイス表面を静的体積の組成物に接触させる工程、及び、連続(ソーク)時間の間にそれとの接触を維持する工程を含む。   The “dynamic” contact mode includes a continuous flow of the composition over the device surface to maximize mass transfer gradient and achieve complete removal of resist from the surface. The “static soak” contact mode includes contacting the device surface with a static volume of composition and maintaining contact with it for a continuous (soak) time.

高密度SAM含有組成物のマイクロエレクトロニクスデバイス表面への接触の後、好ましくはデバイスは、その後、すすぎ溶液、例えばSCF/共溶媒溶液、例えばSCCO/メタノール(80%/20%)溶液のアリコート、及び純SCFで洗浄されて、レジスト除去が行われたデバイス表面の領域からいかなる残留沈殿化学添加剤をも除去する。 After contact of the dense SAM-containing composition to the surface of the microelectronic device, preferably the device is then aliquoted with a rinsing solution, such as an SCF / cosolvent solution, such as an SCCO 2 / methanol (80% / 20%) solution, And cleaning with pure SCF to remove any residual precipitating chemical additives from the areas of the device surface where the resist removal has been performed.

下層のケイ素含有層の所望の不動態化及びマイクロエレクトロニクスデバイス表面上の硬化フォトレジスト材料の所望の除去を達成しつつ、本発明の液状のSAM含有組成物及び高密度SAM含有組成物を用いた特定の接触条件が、ここでの開示に基づいて当該技術範囲内で容易に決定できること、並びに、本発明の組成物中の成分の特定の割合及び濃度が広く変更されてもよいことは理解されるだろう。   The liquid SAM-containing composition and the high-density SAM-containing composition of the present invention were used while achieving the desired passivation of the underlying silicon-containing layer and the desired removal of the cured photoresist material on the surface of the microelectronic device. It is understood that specific contact conditions can be readily determined within the skill of the art based on the disclosure herein, and that specific proportions and concentrations of ingredients in the compositions of the present invention may vary widely. It will be.

本発明の別の態様は、マイクロエレクトロニクスデバイスからのバルク及び硬化フォトレジストの除去の方法であって、ハロゲン化物非含有SAM成分、例えばヘキサメチルジシラザン(HMDS)を用いたマイクロエレクトロニクスデバイス表面上の下層のケイ素含有層の不動態化と、エッチャント含有除去組成物を用いてマイクロエレクトロニクスデバイスからバルク及び硬化フォトレジストを除去する工程と、を含む前記方法に関する。適切なエッチャント含有除去組成物は、限定することなく、フッ化水素(HF)、フッ化アンモニウム(NHF)、フッ化水素アルキル(alkyl hydrogen fluoride)(NRHF)、フッ化水素ジアルキルアンモニウム(dialkylammonium hydrogen fluoride)(NRF)、フッ化水素トリアルキルアンモニウム(trialkylammonium hydrogen fluoride)(NRHF)、フッ化三水素トリアルキルアンモニウム(trialkylammonium trihydrogen fluoride)(NR(3HF))、フッ化テトラアルキルアンモニウム(NRF)、ピリジン−HF錯体、ピリジン/HCl錯体、ピリジン/HBr錯体、トリエチルアミン/HF錯体、トリエチルアミン/HCl錯体、モノエタノールアミン/HF錯体、トリエタノールアミン/HF錯体、トリエチルアミン/ギ酸錯体、及び二フッ化キセノン(XeF)を含み、上述のR置換種中の各Rは、C〜Cアルキル及びC〜C10アリールより独立して選択される。追加の種が、「マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層を洗浄するための高密度流体配合物(Dense Fluid Formulations for Cleaning Ion−Implanted Photoresist Layers from Microelectronic Devices)」について、パメラ・エム・ビシンティン(Pamela M.Visintin)らの名前で、2005年4月15日に出願された、同時係属中の米国仮特許出願第60/672,157号明細書に開示されており、その全体を引用によりここに援用する。 Another aspect of the invention is a method for removal of bulk and hardened photoresist from a microelectronic device on a microelectronic device surface using a halide-free SAM component such as hexamethyldisilazane (HMDS). And passivating the underlying silicon-containing layer and removing bulk and cured photoresist from the microelectronic device using an etchant-containing removal composition. Suitable etchant-containing removal compositions include, but are not limited to, hydrogen fluoride (HF), ammonium fluoride (NH 4 F), alkyl hydrofluoride (NRH 3 F), dialkyl ammonium fluoride (dialkylammonium hydrogen fluoride) (NR 2 H 2 F), hydrogen fluoride trialkylammonium (trialkylammonium hydrogen fluoride) (NR 3 HF), fluoride trihydric trialkylammonium (trialkylammonium trihydrogen fluoride) (NR 3 (3HF)), Tetraalkylammonium fluoride (NR 4 F), pyridine-HF complex, pyridine / HCl complex, pyridine / H In the above R-substituted species, including Br complex, triethylamine / HF complex, triethylamine / HCl complex, monoethanolamine / HF complex, triethanolamine / HF complex, triethylamine / formic acid complex, and xenon difluoride (XeF 2 ) Each R in is independently selected from C 1 -C 8 alkyl and C 6 -C 10 aryl. An additional species is Pamelin, a "Dense Fluid Formulation for Cleaning Ion-Implanted Photolayers from Microelectronic Devices". M. Vistin) et al., Co-pending US Provisional Patent Application No. 60 / 672,157, filed April 15, 2005, hereby incorporated by reference in its entirety. Incorporate.

さらに別の態様において、本発明は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、SAM含有組成物がフッ化水素、フッ化アンモニウム、重フッ化アンモニウム、及び他の周知のフッ化物エッチャント種からなる群より選択されるエッチャント成分を欠くという条件で、前記方法が、マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程を含む方法に関する。   In yet another aspect, the invention provides a method of removing said photoresist material from a microelectronic device having bulk and cured photoresist material thereon, wherein the SAM-containing composition is hydrogen fluoride, ammonium fluoride, The method at least partially removes the photoresist material from the microelectronic device, provided that it lacks an etchant component selected from the group consisting of ammonium bifluoride and other known fluoride etchant species. It relates to a method comprising contacting a microelectronic device with a SAM-containing composition for a sufficient time.

マイクロエレクトロニクスデバイスから硬化フォトレジストを除去するために用いられる方法にかかわらず、本発明の更なる態様は、本明細書において「脱不動態化」と呼ばれる、マイクロエレクトロニクスデバイスの表面からフォトレジスト材料を除去した後の、マイクロエレクトロニクスデバイスの表面からのSAM不動態化層の除去を含む。   Regardless of the method used to remove the cured photoresist from the microelectronic device, a further aspect of the present invention is to remove the photoresist material from the surface of the microelectronic device, referred to herein as “depassivation”. Including removal of the SAM passivation layer from the surface of the microelectronic device after removal.

ウェーハ表面上の不動態化アルキル基による炭素汚染が許容できない(ClSiMeが用いられるSAMである場合、メチル基の約3〜10Åの単分子層の)場合、HSOなどの強酸を用いてSAMを除去することができるが、これは、下層のケイ素含有層の不要な酸化を引き起こすことがある。したがって、HCl及びHFなどのハロゲン化物イオンを含む希無機酸が、最適化されたプロセス条件下で好ましい。ハロゲン化物イオンは、SAM−デバイス表面界面における不動態化Si−O−Si結合を容易に攻撃し、したがって、デバイス表面を「脱不動態化する」。しかしながら、デバイス表面上のケイ素含有層のオーバーエッチングを最小にするために、特別に注意しなければならない。 If carbon contamination by passivated alkyl groups on the wafer surface is unacceptable (for SAMs where Cl 3 SiMe is used, a monolayer of about 3-10 cm of methyl groups), a strong acid such as H 2 SO 4 may be used. Can be used to remove the SAM, but this can cause unwanted oxidation of the underlying silicon-containing layer. Accordingly, dilute inorganic acids containing halide ions such as HCl and HF are preferred under optimized process conditions. Halide ions readily attack passivated Si—O—Si bonds at the SAM-device surface interface and thus “depassivate” the device surface. However, special care must be taken to minimize overetching of the silicon-containing layer on the device surface.

本発明者らは、DMSO中のHF/ピリジン(1:1のモル比)の無水溶液が、0.1Å・分−1未満の速度で、熱酸化物、TEOS、窒化ケイ素、及びポリシリコンをエッチングすることを知られていることを前に示した。したがって、下層のケイ素含有層のほんのわずかなフッ素化及びオーバーエッチングを伴ってデバイス表面を脱不動態化するために、脱不動態化溶液は溶媒中の約0.01質量%〜約2質量%の希無機酸/アミン錯体を含んでもよい。ここで企図される希無機酸/アミン錯体としては、ピリジン/HF錯体、ピリジン/HCl錯体、ピリジン/HBr錯体、トリエチルアミン/HF錯体、トリエチルアミン/HCl錯体、トリエチルアミン/ギ酸錯体、及び過酸化物とそれらとの組合せ、濃HCl、水酸化アンモニウム、並びにそれらの混合物が挙げられる。脱不動態化溶液用のここで企図される溶媒としては、DMSO、メタノール、及び酢酸エチルが挙げられるが、これらに限定されない。 The inventors have found that an aqueous solution of HF / pyridine (1: 1 molar ratio) in DMSO is a thermal oxide, TEOS, silicon nitride, and polysilicon at a rate of less than 0.1 kg · min− 1. It has been shown previously that it is known to etch. Thus, to passivate the device surface with only slight fluorination and overetching of the underlying silicon-containing layer, the depassivation solution is about 0.01% to about 2% by weight in the solvent. Or a dilute inorganic acid / amine complex. Diluted inorganic acid / amine complexes contemplated herein include pyridine / HF complexes, pyridine / HCl complexes, pyridine / HBr complexes, triethylamine / HF complexes, triethylamine / HCl complexes, triethylamine / formic acid complexes, and peroxides. In combination with concentrated HCl, ammonium hydroxide, and mixtures thereof. Solvents contemplated herein for depassivation solutions include, but are not limited to, DMSO, methanol, and ethyl acetate.

本発明の更に別の態様は、本発明の方法によって製造した改良されたマイクロエレクトロニクスデバイス、及びそのようなマイクロエレクトロニクスデバイスを含有する製品に関する。   Yet another aspect of the present invention relates to an improved microelectronic device made by the method of the present invention, and a product containing such a microelectronic device.

本発明の更なる態様は、マイクロエレクトロニクスデバイスを含む物品を製造する方法であって、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間でマイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程と、前記マイクロエレクトロニクスデバイスを前記物品に組み込む工程とを含み、SAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む方法に関する。あるいは、SAM含有組成物は高密度流体を更に含んでもよい。   A further aspect of the present invention is a method of manufacturing an article comprising a microelectronic device for at least partially removing said photoresist material from a microelectronic device having bulk and cured photoresist material thereon. Contacting the microelectronic device with the SAM-containing composition for a sufficient time; and incorporating the microelectronic device into the article, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, It relates to a method comprising at least one SAM component and optionally at least one surfactant. Alternatively, the SAM-containing composition may further comprise a dense fluid.

本発明の特徴及び利点は、以下で説明される具体的な実施例によって、より十分に示される。   The features and advantages of the invention are more fully shown by the specific examples described below.

実施例1
本発明のSAM含有組成物との試料デバイス表面の接触前後での原子間力顕微鏡法(AFM)及び表面エネルギー測定を行って、硬化フォトレジストの除去及び前記デバイスの表面上の単分子層形成の程度を調べた。試料デバイス表面は、(上から下へ)イオン注入フォトレジスト層(2×1015Asイオンcm−2;10keVの注入エネルギー)と、バルクフォトレジスト層と、ケイ素含有ゲート酸化物層と、ケイ素基板とからなるウェーハを含むものであった。試料を様々な時間及び様々な温度で様々なSAM機能性(官能性)を用いて処理し、接触角を測定した。結果を下記表1〜3に示す。
Example 1
Perform atomic force microscopy (AFM) and surface energy measurements before and after contact of the sample device surface with the SAM-containing composition of the present invention to remove the cured photoresist and form a monolayer on the surface of the device. I examined the degree. The sample device surface is (from top to bottom) an ion-implanted photoresist layer (2 × 10 15 As ions cm −2 ; 10 keV implantation energy), a bulk photoresist layer, a silicon-containing gate oxide layer, and a silicon substrate Including a wafer consisting of Samples were processed with different SAM functionality (functionality) at different times and at different temperatures, and contact angles were measured. The results are shown in Tables 1 to 3 below.

Figure 2008538013
Figure 2008538013

Figure 2008538013
Figure 2008538013

Figure 2008538013
Figure 2008538013

下層のケイ素含有層の不動態化は、デバイス表面にSAM含有組成物を付与した後の、接触角の増加によって証明される。35°の接触角を有するヒドロキシル末端の親水性デバイス表面を77°の接触角を有するメチル末端の疎水性表面に転換するために、10分未満のプロセス時間が必要とされることが表1から認められる。   Passivation of the underlying silicon-containing layer is evidenced by an increase in contact angle after application of the SAM-containing composition to the device surface. It can be seen from Table 1 that a process time of less than 10 minutes is required to convert a hydroxyl-terminated hydrophilic device surface with a contact angle of 35 ° to a methyl-terminated hydrophobic surface with a contact angle of 77 °. Is recognized.

それぞれ、10分、30分、1時間、及び15時間に等しい接触時間における、図1A〜1Dに示した対応するAFM画像は、(他のプロセスパラメータを全て一定に維持しながら)時間が増加すると、多置換クロロシランの重合(又は架橋)によって、小さい島がケイ素含有表面上に形成することをはっきり示す。プロセス時間が増加すると島は徐々に合体又は凝集し、15時間では表面上でのバルク重合の徴候が示される。   The corresponding AFM images shown in FIGS. 1A-1D at contact times equal to 10 minutes, 30 minutes, 1 hour, and 15 hours, respectively, increase in time (while keeping all other process parameters constant). It clearly shows that polymerization (or cross-linking) of multi-substituted chlorosilanes forms small islands on silicon-containing surfaces. As the process time increases, the islands gradually coalesce or agglomerate, and 15 hours show signs of bulk polymerization on the surface.

予備的な温度の研究が、表面の不動態化及び洗浄効率に最も効果的な温度を調べるために行われた。洗浄効率に関して、4つの異なるマイクロエレクトロニクスデバイス層、すなわち、バルクの被覆されたフォトレジスト;バルクの被覆されたフォトレジスト上の30〜45nmのイオン注入クラスト;バルクのパターン化されたフォトレジスト;及びバルクのパターン化されたフォトレジスト上のイオン注入クラストが検討された。表2に報告された結果(接触角)を、図2に示されたパーセント除去効率と比較すると、60℃よりも高い温度が、不動態化の最大量及びフォトレジストのほぼ100%の除去をもたらすことが認められる。したがって、時間及びSAM機能性の関数としての全てのその後の実験を70℃で行った。   Preliminary temperature studies were conducted to determine the most effective temperature for surface passivation and cleaning efficiency. Regarding cleaning efficiency, four different microelectronic device layers: bulk coated photoresist; 30-45 nm ion implantation crust on bulk coated photoresist; bulk patterned photoresist; and bulk An ion implantation crust on patterned photoresists was studied. Comparing the results reported in Table 2 (contact angles) with the percent removal efficiency shown in FIG. 2, temperatures higher than 60 ° C. resulted in a maximum amount of passivation and almost 100% removal of the photoresist. It is allowed to bring. Therefore, all subsequent experiments as a function of time and SAM functionality were performed at 70 ° C.

架橋の徴候は図3A〜3Cにより良好に示されており、これらは、70℃の温度及び30分の時間におけるSAM官能性、具体的には塩化物脱離基の数の関数としての架橋の変化を示す。ClSiMe(図3A)では、SAMの架橋能が存在せず、平滑な単分子層(rms=0.415nm;対照rms=0.131nm)が表面上に形成されることが認められる。しかしながら、上述した島の形成によって示されるように、ClSiMe(図3B)及びClSiMe(図3C)では架橋が生じ、結果として、より粗いフィルム表面(それぞれ、ジ−及びトリ−クロロシランについて、rms=0.465及び1.573nm)をもたらす。島の形成は、より積極的な脱不動態化技術(例えば、より濃縮された組成物、より長い接触時間など)の必要性を示す。 The signs of cross-linking are better shown in FIGS. 3A-3C, which show the SAM functionality at a temperature of 70 ° C. and a time of 30 minutes, specifically the function of cross-linking as a function of the number of chloride leaving groups. Showing change. In ClSiMe 3 (FIG. 3A), it can be seen that there is no cross-linking ability of SAM and a smooth monolayer (rms = 0.415 nm; control rms = 0.131 nm) is formed on the surface. However, as shown by the island formation described above, cross-linking occurs in Cl 2 SiMe 2 (FIG. 3B) and Cl 3 SiMe (FIG. 3C), resulting in a rougher film surface (di- and tri-chlorosilane, respectively). For rms = 0.465 and 1.573 nm). Island formation indicates the need for more aggressive depassivation techniques (eg, more concentrated compositions, longer contact times, etc.).

実施例2
図4A〜4Cは、平行線領域からなる高密度にパターン化された高ドープ(2×1015Asイオンcm−2;10keVの注入エネルギー)フォトレジストの層を含む試料デバイス表面の光学顕微鏡(図4A)及び走査型電子顕微鏡(SEM)画像を示す。〜30nmの厚さの硬化クラストが、90°視点画像(図4C)にはっきり認められる。SAM成分上の塩化物置換の関数としてのクラストの洗浄効率が、図5A(ClSiMe)、図5B(ClSiMe)、及び図5C(ClSiMe)に示されている。図5A〜5Cの光学顕微鏡画像は、SAM成分上の塩化物脱離基の数が増加すると、除去された硬化フォトレジストの量も増加することを示す。実際に、ClSiMe含有組成物を用いると、4つの異なるマイクロエレクトロニクスデバイス層の90%よりも大きな除去が成し遂げられる(図6を参照のこと)。クラスト除去の増加は、SAM含有組成物がデバイス表面に付与されたときに発生したHClの増加の結果であると考えられる。
Example 2
4A-4C are optical microscopes (FIG. 4) of a sample device surface comprising a layer of densely patterned, highly doped (2 × 10 15 As ions cm −2 ; 10 keV implantation energy) photoresist consisting of parallel line regions. 4A) and scanning electron microscope (SEM) images are shown. A cured crust of ˜30 nm thickness is clearly visible in the 90 ° viewpoint image (FIG. 4C). The cleaning efficiency of the crust as a function of chloride substitution on the SAM component is shown in FIG. 5A (ClSiMe 3 ), FIG. 5B (Cl 2 SiMe 2 ), and FIG. 5C (Cl 3 SiMe). The optical microscopic images of FIGS. 5A-5C show that as the number of chloride leaving groups on the SAM component increases, the amount of cured photoresist removed also increases. In fact, greater than 90% removal of four different microelectronic device layers is achieved using Cl 3 SiMe-containing compositions (see FIG. 6). The increase in crust removal is believed to be the result of the increase in HCl generated when the SAM-containing composition is applied to the device surface.

追加の実験を行い、それによって、ハロゲン化物非含有SAM含有組成物を、高密度にパターン化された高ドープフォトレジストと下層のケイ素含有層とを含む試料デバイス表面に接触させた。63°の接触角によって示されるように、試料が不動態化されても、硬化フォトレジストは除去されなかった。したがって、本発明者らの結果は、いくらかの量の脱離基、例えば塩化物が、硬化フォトレジストの除去に必要であることを示す。   Additional experiments were performed whereby a non-halide-containing SAM-containing composition was contacted with a sample device surface comprising a highly patterned highly doped photoresist and an underlying silicon-containing layer. As indicated by the 63 ° contact angle, the cured photoresist was not removed when the sample was passivated. Accordingly, our results indicate that some amount of leaving group, such as chloride, is necessary for removal of the cured photoresist.

実施例3
本発明の更なる態様は、マイクロエレクトロニクスデバイスの表面からの不動態化層の除去、又は「脱不動態化」を含む。図7Aは、36°の接触角及びrms=0.15nmを有する高密度にパターン化されたデバイス表面の光学顕微鏡画像である。図7Bは、ClSiMeを含むSAM含有組成物を70℃で30分間付与した後の図7Aのデバイス表面の光学画像である。不動態化表面の接触角は(rms=1.10nmで)79°と測定され、ケイ素含有表面の不動態化を示した。硬化フォトレジストの少なくとも90%が除去されたことが認められる。図7Cは、DMSO中のNEt:HF(1:3のモル比)の組成物を用いて、50℃で2分間脱不動態化した後の図7Bのデバイス表面の光学画像である。脱不動態化した表面の接触角は、(rms=0.25nmで)35°と測定された。表面の接触角がSAM含有組成物との接触前の表面の接触角と一致すると、脱不動態化プロセスは本質的に完了する。
Example 3
Further aspects of the invention include removal of the passivation layer from the surface of the microelectronic device, or “depassivation”. FIG. 7A is an optical microscope image of a densely patterned device surface with a contact angle of 36 ° and rms = 0.15 nm. FIG. 7B is an optical image of the device surface of FIG. 7A after application of a SAM-containing composition comprising Cl 3 SiMe at 70 ° C. for 30 minutes. The contact angle of the passivated surface was measured as 79 ° (at rms = 1.10 nm), indicating passivation of the silicon-containing surface. It can be seen that at least 90% of the cured photoresist has been removed. FIG. 7C is an optical image of the device surface of FIG. 7B after depassivation at 50 ° C. for 2 minutes with a composition of NEt 3 : HF (1: 3 molar ratio) in DMSO. The contact angle of the depassivated surface was measured as 35 ° (at rms = 0.25 nm). The depassivation process is essentially complete when the contact angle of the surface matches the contact angle of the surface prior to contact with the SAM-containing composition.

下層のケイ素含有層のフッ素化及び/又はオーバーエッチングをなくすために、脱不動態化プロセスは最適化されるべきであることが認められる。例えば、熱酸化物を含有するデバイス構造からのSAM除去のために、脱不動態化を30秒間隔で行い、TEOSベースのデバイス構造からのSAM除去のために、脱不動態化を20秒間隔で行ってもよい。   It will be appreciated that the depassivation process should be optimized to eliminate fluorination and / or overetching of the underlying silicon-containing layer. For example, depassivation is performed at 30 second intervals for SAM removal from device structures containing thermal oxide, and depassivation is performed at 20 second intervals for SAM removal from TEOS-based device structures. You may go on.

図8A〜8Eは、不動態化及び洗浄の結果、並びに硬化フォトレジストの除去後の脱不動態化の別の図を提供する。図8Aは、高密度にパターン化された高ドープ(2×1015Asイオンcm−2;10keVの注入エネルギー)フォトレジスト層を含むデバイス表面の処理前のSEMである。図8Bは、ClSiMeを含むSAM含有組成物を70℃で30分間付与した後の図8Aの高密度にパターン化された表面のSEMであり、硬化フォトレジストの成功した効率的な除去(及び不動態化)を示す。図8C及び図8Dは、DMSO中のNEt:HF(1:3のモル比)の組成物を用いて50℃で2分間脱不動態化した後の図8Bのデバイス表面のSEMである。図8C及び図8DのSEM画像は、脱不動態化プロセスの間の、下層のケイ素含有層の実質的なオーバーエッチングのいかなる徴候をも示さない(図8Eにおけるオーバーエッチングされた試料と比較されたい)。 8A-8E provide another view of the results of passivation and cleaning, and depassivation after removal of the cured photoresist. FIG. 8A is a pre-process SEM of a device surface comprising a densely patterned highly doped (2 × 10 15 As ions cm −2 ; 10 keV implantation energy) photoresist layer. FIG. 8B is the SEM of the densely patterned surface of FIG. 8A after applying a SAM-containing composition comprising Cl 3 SiMe for 30 minutes at 70 ° C., with successful and efficient removal of the cured photoresist ( And passivation). 8C and 8D are SEMs of the device surface of FIG. 8B after depassivation with a composition of NEt 3 : HF (1: 3 molar ratio) in DMSO at 50 ° C. for 2 minutes. The SEM images of FIGS. 8C and 8D do not show any sign of substantial over-etching of the underlying silicon-containing layer during the depassivation process (compare with the over-etched sample in FIG. 8E). ).

ここで教示した改良されたSAM含有組成物は、プラズマエッチング工程を必要とせずに、また、下層のケイ素含有層を実質的にオーバーエッチングすることなく、一工程又は多工程プロセスで、バルク及び硬化フォトレジストを効果的に除去する。   The improved SAM-containing composition taught herein can be bulk and cured in a one-step or multi-step process without the need for plasma etching steps and without substantially over-etching the underlying silicon-containing layer. Effectively remove the photoresist.

したがって、本発明の特定の態様、特徴、及び例示的な実施形態に関して本明細書で本発明を説明したが、本発明の有用性がこれらに限定されることなく、むしろ、多数の他の態様、特徴及び実施形態まで拡大し、これらを包含することは理解されるだろう。したがって、添付のように規定された特許請求の範囲は、それらの精神及び範囲内において、そのような態様、特徴、及び実施形態をすべて含むように同様に広く解釈されるべきである。   Thus, while the invention has been described herein with reference to specific aspects, features and exemplary embodiments of the invention, the usefulness of the invention is not limited thereto, but rather numerous other aspects. It will be understood that this extends to and encompasses the features and embodiments. Accordingly, the appended claims should be construed broadly to include all such aspects, features, and embodiments within their spirit and scope.

図面の簡単な説明
70℃の接触温度において、10mLのトルエン中に1mmolのClSiMeと2mmolのEtNとを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に接触させた後の、接触時間=1分でのマイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 70℃の接触温度において、10mLのトルエン中に1mmolのClSiMeと2mmolのEtNとを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に接触させた後の、接触時間=30分でのマイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 70℃の接触温度において、10mLのトルエン中に1mmolのClSiMeと2mmolのEtNとを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に接触させた後の、接触時間=1時間でのマイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 70℃の接触温度において、10mLのトルエン中に1mmolのClSiMeと2mmolのEtNとを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に接触させた後の、接触時間=15時間でのマイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 バルクの被覆されたフォトレジスト層(バルクPR)、被覆されたイオン注入フォトレジスト層(クラスト)、バルクのパターン化されたフォトレジスト層(パターン化PR)、及びパターン化されたイオン注入フォトレジスト層(パターン化クラスト)を含む4つの異なるマイクロエレクトロニクスデバイス層について、温度の関数としての本発明のSAM含有組成物の洗浄効率を示す。 70℃の接触温度において、10mLのトルエン中に2mmolのEtN中にClSiMeを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に30分間接触させた後の、マイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 70℃の接触温度において、10mLのトルエン中に2mmolのEtN中にClSiMeを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に30分間接触させた後の、マイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 70℃の接触温度において、10mLのトルエン中に2mmolのEtN中にClSiMeを含むSAM含有組成物をマイクロエレクトロニクスデバイス表面に30分間接触させた後の、マイクロエレクトロニクスデバイス表面の原子間力顕微鏡写真である。 マイクロエレクトロニクスデバイス表面上の、高密度にパターン化されたイオン注入フォトレジストの光学顕微鏡画像である。 マイクロエレクトロニクスデバイス表面上の、高密度にパターン化されたイオン注入フォトレジストの走査型電子顕微鏡(SEM)画像である。 マイクロエレクトロニクスデバイス表面上の、高密度にパターン化されたイオン注入フォトレジストの走査型電子顕微鏡(SEM)画像である。 ClSiMeを含むSAM含有組成物を70℃で30分間接触させた後の、マイクロエレクトロニクスデバイス表面の光学顕微鏡画像である。 ClSiMeを含むSAM含有組成物を70℃で30分間接触させた後の、マイクロエレクトロニクスデバイス表面の光学顕微鏡画像である。 ClSiMeを含むSAM含有組成物を70℃で30分間接触させた後の、マイクロエレクトロニクスデバイス表面の光学顕微鏡画像である。 バルクの被覆されたフォトレジスト層(バルクPR)、被覆されたイオン注入フォトレジスト層(クラスト)、バルクのパターン化されたフォトレジスト層(パターン化PR)、及びパターン化されたイオン注入フォトレジスト層(パターン化クラスト)を含む4つの異なるマイクロエレクトロニクスデバイス層について、SAM機能性の関数としての本発明のSAM含有組成物の除去効率を示す。 対照表面の光学顕微鏡画像である。 本発明のSAM含有組成物を用いた洗浄及び不動態化の後の表面の光学顕微鏡画像である。 本発明による脱不動態化の後の表面の光学顕微鏡画像である。 対照表面の走査型電子顕微鏡写真である。 本発明のSAM含有組成物を用いた洗浄及び不動態化の後の表面の走査型電子顕微鏡写真である。 90°視点における脱不動態化後の表面の走査型電子顕微鏡写真である。 60°視点における脱不動態化後の表面の走査型電子顕微鏡写真である。 脱不動態化後に故意にオーバーエッチングされた表面の走査型電子顕微鏡写真である。
Brief Description of Drawings
After contacting a microelectronic device surface with a SAM-containing composition comprising 1 mmol of Cl 3 SiMe and 2 mmol of Et 3 N in 10 mL of toluene at a contact temperature of 70 ° C., contact time = 1 micron It is an atomic force microscope photograph of the surface of an electronic device. After contacting a microelectronic device surface with a SAM-containing composition comprising 1 mmol Cl 3 SiMe and 2 mmol Et 3 N in 10 mL toluene at a contact temperature of 70 ° C., the contact time = 30 minutes It is an atomic force microscope photograph of the surface of an electronic device. At a contact temperature of 70 ° C., after contacting a SAM-containing composition comprising 1 mmol of Cl 3 SiMe and 2 mmol of Et 3 N in 10 mL of toluene to the surface of the microelectronic device, contact time = 1 microsecond It is an atomic force microscope photograph of the surface of an electronic device. After contacting a microelectronic device surface with a SAM-containing composition comprising 1 mmol of Cl 3 SiMe and 2 mmol of Et 3 N in 10 mL of toluene at a contact temperature of 70 ° C., the contact time = 15 hours of micro It is an atomic force microscope photograph of the surface of an electronic device. Bulk coated photoresist layer (bulk PR), coated ion-implanted photoresist layer (crust), bulk patterned photoresist layer (patterned PR), and patterned ion-implanted photoresist layer Figure 4 shows the cleaning efficiency of the inventive SAM-containing composition as a function of temperature for four different microelectronic device layers including (patterned crust). Atomic force microscopy of the surface of the microelectronic device after contacting the surface of the microelectronic device with a SAM-containing composition comprising ClSiMe 3 in 2 mmol of Et 3 N in 10 mL of toluene at a contact temperature of 70 ° C. It is a photograph. At the contact temperature of 70 ° C., the atoms on the microelectronic device surface after contacting the microelectronic device surface with a SAM-containing composition comprising Cl 2 SiMe 2 in 2 mmol Et 3 N in 10 mL toluene for 30 minutes. It is a force micrograph. Atomic force on the surface of the microelectronic device after contacting the surface of the microelectronic device with a SAM-containing composition comprising Cl 3 SiMe in 2 mmol of Et 3 N in 10 mL of toluene at a contact temperature of 70 ° C. It is a micrograph. 2 is an optical microscopic image of a densely patterned ion-implanted photoresist on a microelectronic device surface. 2 is a scanning electron microscope (SEM) image of a densely patterned ion-implanted photoresist on a microelectronic device surface. 2 is a scanning electron microscope (SEM) image of a densely patterned ion-implanted photoresist on a microelectronic device surface. The SAM-containing composition comprising the ClSiMe 3 after contacting for 30 minutes at 70 ° C., is an optical microscope image of a microelectronic device surface. The SAM-containing composition comprising Cl 2 SiMe 2 after contact for 30 minutes at 70 ° C., is an optical microscope image of a microelectronic device surface. The SAM-containing composition comprising Cl 3 SiMe after contacting for 30 minutes at 70 ° C., is an optical microscope image of a microelectronic device surface. Bulk coated photoresist layer (bulk PR), coated ion implanted photoresist layer (crust), bulk patterned photoresist layer (patterned PR), and patterned ion implanted photoresist layer 4 shows the removal efficiency of the SAM-containing composition of the present invention as a function of SAM functionality for four different microelectronic device layers including (patterned crust). It is an optical microscope image of a control surface. It is the optical microscope image of the surface after washing | cleaning and passivation using the SAM containing composition of this invention. Figure 3 is an optical microscopic image of a surface after depassivation according to the present invention. It is a scanning electron micrograph of a control surface. It is a scanning electron micrograph of the surface after washing | cleaning and passivation using the SAM containing composition of this invention. It is the scanning electron micrograph of the surface after depassivation in a 90 degree viewpoint. It is the scanning electron micrograph of the surface after the depassivation in a 60 degree viewpoint. It is a scanning electron micrograph of the surface intentionally over-etched after depassivation.

Claims (43)

少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む自己組織化単分子膜(SAM)含有組成物であって、前記SAM含有組成物は、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去するのに適した、自己組織化単分子膜(SAM)含有組成物。   A self-assembled monolayer (SAM) -containing composition comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, the SAM-containing composition A self-assembled monolayer (SAM) -containing composition suitable for removing said photoresist material from a microelectronic device having bulk and cured photoresist material thereon. 前記液状のSAM含有組成物中におけるSAM対触媒のモル比が、約1:10から約5:1の範囲内である、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1, wherein the molar ratio of SAM to catalyst in the liquid SAM-containing composition is in the range of about 1:10 to about 5: 1. SAM対溶媒のモル比が、約1:200から約1:50の範囲内である、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1, wherein the molar ratio of SAM to solvent is in the range of about 1: 200 to about 1:50. 前記溶媒が、トルエン、デカン、ドデカン、オクタン、ペンタン、ヘキサン、テトラヒドロフラン(THF)、二酸化炭素、及びそれらの混合物からなる群より選択される少なくとも1つの非極性溶媒を含む、請求項1に記載のSAM含有組成物。   2. The solvent of claim 1, wherein the solvent comprises at least one non-polar solvent selected from the group consisting of toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, and mixtures thereof. SAM-containing composition. メタノール、エタノール、イソプロパノール、N−メチルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、ジメチルスルホキシド(DMSO)、スルホラン、乳酸エチル、酢酸エチル、トルエン、アセトン、ブチルカルビトール、モノエタノールアミン、ブチロールラクトン、ジグリコールアミン、フッ化アルキルアンモニウム、γ−ブチロラクトン、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、及びそれらの混合物からなる群より選択される追加の溶媒を更に含む、請求項4に記載のSAM含有組成物。   Methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethyl sulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrolol lactone The SAM-containing composition of claim 4, further comprising an additional solvent selected from the group consisting of: diglycolamine, alkylammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof. object. 前記溶媒が、トルエンを含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition according to claim 1, wherein the solvent comprises toluene. 前記溶媒が、高密度二酸化炭素を含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition according to claim 1, wherein the solvent comprises high density carbon dioxide. 前記SAM成分が、(RO)SiX、(RO)SiX、(RO)SiX、(R)SiX、(R)SiX、及び(R)SiX
(ここで、X=F、Cl、Br、及びIであり、R=メチル、エチル、プロピル、ブチル、オクチル、デシル、及びドデシル;それらのフッ素化誘導体;並びにそれらの組合せである)
からなる群より選択されるシランを含む、請求項1に記載のSAM含有組成物。
The SAM component is (RO) 3 SiX, (RO) 2 SiX 2 , (RO) SiX 3 , (R) 3 SiX, (R) 2 SiX 2 , and (R) SiX 3.
(Where X = F, Cl, Br, and I, R = methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof)
The SAM-containing composition of claim 1 comprising a silane selected from the group consisting of:
前記SAM成分が、ClSiMe、ClSiMe、及びClSiMeからなる群より選択されるアルキルクロロシランを含む、請求項1に記載のSAM含有組成物。 The SAM-containing composition according to claim 1, wherein the SAM component comprises an alkylchlorosilane selected from the group consisting of Cl 3 SiMe, Cl 2 SiMe 2 , and ClSiMe 3 . 前記触媒が、トリメチルアミン、トリエチルアミン、ブチルアミン、ピリジン、及びそれらの組合せからなる群より選択されるアミンを含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1, wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof. 少なくとも1つの界面活性剤を含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition according to claim 1, comprising at least one surfactant. 前記界面活性剤が、フルオロアルキル界面活性剤、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレングリコールエーテル、ポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーンポリマー、変性シリコーンポリマー、アセチレンジオール、変性アセチレンジオール、アルキルアンモニウム塩、変性アルキルアンモニウム塩、及びそれらの組合せからなる群より選択される界面活性剤種を含む、請求項11に記載のSAM含有組成物。   The surfactant is a fluoroalkyl surfactant, polyethylene glycol, polypropylene glycol, polyethylene glycol ether, polypropylene glycol ether, carboxylate, dodecylbenzenesulfonic acid, dodecylbenzenesulfonate, polyacrylate polymer, dinonylphenyl polyoxy 12. The SAM of claim 11, comprising a surfactant species selected from the group consisting of ethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkyl ammonium salts, modified alkyl ammonium salts, and combinations thereof. Containing composition. 前記組成物が、トルエンと、ClSiMeと、トリエチルアミンとを含む、請求項1に記載のSAM含有組成物。 The SAM-containing composition according to claim 1, wherein the composition comprises toluene, Cl 3 SiMe, and triethylamine. 前記マイクロエレクトロニクスデバイスが、半導体基板、フラットパネルディスプレイ、及びマイクロエレクトロメカニカルシステム(MEMS)からなる群より選択される物品を含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1, wherein the microelectronic device comprises an article selected from the group consisting of a semiconductor substrate, a flat panel display, and a microelectromechanical system (MEMS). 前記バルク及び硬化フォトレジスト材料が、ヒ素イオン、ホウ素イオン、リンイオン、インジウムイオン、及びアンチモンイオンからなる群より選択されるドーパントイオンを含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1, wherein the bulk and hardened photoresist material comprises dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorus ions, indium ions, and antimony ions. 前記少なくとも1つのSAM成分及び前記少なくとも1つの触媒が、前記マイクロエレクトロニクスデバイス上のケイ素含有層を不動態化すると同時にバルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記材料を除去するのに効果的な量で存在する、請求項1に記載のSAM含有組成物。   The at least one SAM component and the at least one catalyst passivate the silicon-containing layer on the microelectronic device while simultaneously removing the material from the microelectronic device having bulk and hardened photoresist material thereon. The SAM-containing composition of claim 1, wherein the SAM-containing composition is present in an effective amount. 前記ケイ素含有層が、ケイ素;二酸化ケイ素;TEOS;窒化ケイ素;ケイ素含有有機ポリマー;ケイ素含有混成有機/無機材料;有機ケイ酸塩ガラス(OSG);フッ化ケイ酸塩ガラス(FSG);炭素ドープ酸化物(CDO)ガラス;及びそれらの組合せからなる群より選択されるケイ素含有化合物を含む、請求項16に記載のSAM含有組成物。   The silicon-containing layer is silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymer; silicon-containing hybrid organic / inorganic material; organosilicate glass (OSG); fluorosilicate glass (FSG); The SAM-containing composition of claim 16 comprising a silicon-containing compound selected from the group consisting of oxide (CDO) glass; and combinations thereof. 前記二酸化炭素が、超臨界である、請求項7に記載のSAM含有組成物。   The SAM-containing composition according to claim 7, wherein the carbon dioxide is supercritical. フォトレジスト残留物質を更に含む、請求項1に記載のSAM含有組成物。   The SAM-containing composition of claim 1 further comprising a photoresist residue. 前記フォトレジストが、バルクフォトレジスト、硬化フォトレジスト、又はそれらの組合せを含む、請求項19に記載のSAM含有組成物。   The SAM-containing composition of claim 19, wherein the photoresist comprises a bulk photoresist, a cured photoresist, or a combination thereof. 前記フォトレジストが、ホウ素イオン、ヒ素イオン、リンイオン、インジウムイオン、及びアンチモンイオンからなる群より選択されるイオンを含む、請求項20に記載のSAM含有組成物。   21. The SAM-containing composition according to claim 20, wherein the photoresist comprises ions selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions. 1つ以上の容器内にSAM含有組成物試薬を含むキットであって、前記SAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含み、前記キットが、バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去するのに適したSAM含有組成物を形成するように構成された、キット。   A kit comprising a SAM-containing composition reagent in one or more containers, the SAM-containing composition comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one A kit, wherein the kit is configured to form a SAM-containing composition suitable for removing the photoresist material from a microelectronic device having bulk and cured photoresist material thereon. kit. バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、前記マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間及び十分な接触条件下で前記マイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程を含み、前記SAM含有組成物が、少なくとも1つの溶媒と、少なくとも1つの触媒と、少なくとも1つのSAM成分と、任意に少なくとも1つの界面活性剤とを含む、方法。   A method of removing the photoresist material from a microelectronic device having bulk and hardened photoresist material thereon, the method being sufficient to at least partially remove the photoresist material from the microelectronic device. Contacting the microelectronic device with a SAM-containing composition for a sufficient amount of time and under sufficient contact conditions, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, and at least one SAM component. Optionally comprising at least one surfactant. 前記接触させる工程が、約1分間〜約60分間の時間で行われる、請求項23に記載の方法。   24. The method of claim 23, wherein the contacting is performed for a time of about 1 minute to about 60 minutes. 前記接触させる工程が、約30℃〜約80℃の温度で行われる、請求項23に記載の方法。   24. The method of claim 23, wherein the contacting is performed at a temperature of about 30 <0> C to about 80 <0> C. 前記溶媒が、トルエン、デカン、オクタン、ドデカン、ペンタン、ヘキサン、テトラヒドロフラン(THF)、二酸化炭素、メタノール、エタノール、イソプロパノール、N−メチルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、ジメチルスルホキシド(DMSO)、スルホラン、乳酸エチル、酢酸エチル、トルエン、アセトン、ブチルカルビトール、モノエタノールアミン、ブチロールラクトン、ジグリコールアミン、フッ化アルキルアンモニウム、γ−ブチロラクトン、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、及びそれらの混合物からなる群より選択される少なくとも1つの溶媒を含み;
前記触媒が、トリメチルアミン、トリエチルアミン、ブチルアミン、ピリジン、及びそれらの組合せからなる群より選択されるアミンを含み;
前記SAM成分が、(RO)SiX、(RO)SiX、(RO)SiX、(R)SiX、(R)SiX、及び(R)SiX
(ここで、X=F、Cl、Br、及びIであり、R=メチル、エチル、プロピル、ブチル、オクチル、デシル、及びドデシル;それらのフッ素化誘導体;並びにそれらの組合せである)
からなる群より選択されるシランを含む、請求項23に記載の方法。
The solvent is toluene, decane, octane, dodecane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO). , Sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrolollactone, diglycolamine, alkylammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and their Including at least one solvent selected from the group consisting of a mixture;
The catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof;
The SAM component is (RO) 3 SiX, (RO) 2 SiX 2 , (RO) SiX 3 , (R) 3 SiX, (R) 2 SiX 2 , and (R) SiX 3.
(Where X = F, Cl, Br, and I, R = methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof)
24. The method of claim 23, comprising a silane selected from the group consisting of:
前記液状のSAM含有組成物中におけるSAM対触媒のモル比が、約1:10から約5:1の範囲内であり、SAM対溶媒のモル比が、約1:200から約1:50の範囲内である、請求項23に記載の方法。   The molar ratio of SAM to catalyst in the liquid SAM-containing composition is in the range of about 1:10 to about 5: 1, and the molar ratio of SAM to solvent is about 1: 200 to about 1:50. 24. The method of claim 23, which is within range. 前記マイクロエレクトロニクスデバイスが、半導体基板、フラットパネルディスプレイ、及びマイクロエレクトロメカニカルシステム(MEMS)からなる群より選択される物品を含む、請求項23に記載の方法。   24. The method of claim 23, wherein the microelectronic device comprises an article selected from the group consisting of a semiconductor substrate, a flat panel display, and a microelectromechanical system (MEMS). 前記バルク及び硬化フォトレジスト材料が、ヒ素イオン、ホウ素イオン、リンイオン、インジウムイオン、及びアンチモンイオンからなる群より選択されるドーパントイオンを含む、請求項23に記載の方法。   24. The method of claim 23, wherein the bulk and hardened photoresist material comprises dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorus ions, indium ions, and antimony ions. 前記接触させる工程が、前記マイクロエレクトロニクスデバイスの表面上に前記SAM含有組成物を噴霧する工程;十分な体積のSAM含有組成物中に前記マイクロエレクトロニクスデバイスを浸漬する工程;前記SAM含有組成物を染み込ませた別の材料に前記マイクロエレクトロニクスデバイスの表面を接触させる工程;循環するSAM含有組成物に前記マイクロエレクトロニクスデバイスを接触させる工程;前記SAM含有組成物の連続流に前記マイクロエレクトロニクスデバイスを接触させる工程;及び、連続した期間の間、静的体積の前記SAM含有組成物に前記マイクロエレクトロニクスデバイスの表面を接触させる工程からなる群より選択されるプロセスを含む、請求項23に記載の方法。   The contacting comprises spraying the SAM-containing composition onto a surface of the microelectronic device; immersing the microelectronic device in a sufficient volume of the SAM-containing composition; soaking the SAM-containing composition Contacting the surface of the microelectronic device with another deposited material; contacting the microelectronic device with a circulating SAM-containing composition; contacting the microelectronic device with a continuous stream of the SAM-containing composition 24. and a process selected from the group consisting of contacting a surface of the microelectronic device with a static volume of the SAM-containing composition for a continuous period of time. 前記SAM含有組成物との接触後、前記マイクロエレクトロニクスデバイスをすすぐ工程を更に含む、請求項23に記載の方法。   24. The method of claim 23, further comprising rinsing the microelectronic device after contact with the SAM-containing composition. 前記少なくとも1つのSAM成分及び前記少なくとも1つの触媒が、前記マイクロエレクトロニクスデバイス上のケイ素含有層を不動態化すると同時にバルク及び硬化フォトレジスト材料をその上に有する前記マイクロエレクトロニクスデバイスから前記材料を除去するのに効果的な量で存在する、請求項23に記載の方法。   The at least one SAM component and the at least one catalyst passivate the silicon-containing layer on the microelectronic device while simultaneously removing the material from the microelectronic device having bulk and hardened photoresist material thereon. 24. The method of claim 23, wherein the method is present in an effective amount. 前記ケイ素含有層が、ケイ素;二酸化ケイ素;TEOS;窒化ケイ素;ケイ素含有有機ポリマー;ケイ素含有混成有機/無機材料;有機ケイ酸塩ガラス(OSG);フッ化ケイ酸塩ガラス(FSG);炭素ドープ酸化物(CDO)ガラス;及びそれらの組合せからなる群より選択されるケイ素含有化合物を含む、請求項32に記載の方法。   The silicon-containing layer is silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymer; silicon-containing hybrid organic / inorganic material; organosilicate glass (OSG); fluorosilicate glass (FSG); 35. The method of claim 32, comprising a silicon-containing compound selected from the group consisting of oxide (CDO) glass; and combinations thereof. 前記下層のケイ素含有層が、前記SAM不動態化層の形成後、約60度〜約120度の範囲内の接触角を有する、請求項32に記載の方法。   35. The method of claim 32, wherein the underlying silicon-containing layer has a contact angle in the range of about 60 degrees to about 120 degrees after formation of the SAM passivation layer. 前記マイクロエレクトロニクスデバイスからの前記フォトレジスト材料の少なくとも部分的な除去の後、脱不動態化組成物を用いて前記マイクロエレクトロニクスデバイスから前記SAM不動態化層を除去する工程を更に含む、請求項23に記載の方法。   24. After at least partial removal of the photoresist material from the microelectronic device, further comprising removing the SAM passivation layer from the microelectronic device using a depassivation composition. The method described in 1. 前記脱不動態化組成物が、ピリジン/HF錯体、ピリジン/HCl錯体、ピリジン/HBr錯体、トリエチルアミン/HF錯体、トリエチルアミン/HCl錯体、トリエチルアミン/ギ酸錯体、それらの過酸化物誘導体、濃HCl、水酸化アンモニウム、及びそれらの組合せからなる群より選択される化合物を含む、請求項35に記載の方法。   The depassivation composition comprises a pyridine / HF complex, a pyridine / HCl complex, a pyridine / HBr complex, a triethylamine / HF complex, a triethylamine / HCl complex, a triethylamine / formic acid complex, a peroxide derivative thereof, concentrated HCl, water 36. The method of claim 35, comprising a compound selected from the group consisting of ammonium oxide, and combinations thereof. 前記溶媒が、高密度二酸化炭素を含む、請求項23に記載の方法。   24. The method of claim 23, wherein the solvent comprises high density carbon dioxide. 前記接触条件が、高圧を含む、請求項37に記載の方法。   38. The method of claim 37, wherein the contact conditions include high pressure. 前記高圧が、約1500〜約4500psiの範囲内の圧力を含む、請求項38に記載の方法。   40. The method of claim 38, wherein the high pressure comprises a pressure in the range of about 1500 to about 4500 psi. 前記接触時間が、約5〜約30分の範囲内である、請求項37に記載の方法。   38. The method of claim 37, wherein the contact time is in the range of about 5 to about 30 minutes. 前記接触条件が、約40℃〜約75℃の範囲内の温度を含む、請求項37に記載の方法。   38. The method of claim 37, wherein the contact conditions comprise a temperature in the range of about 40 ° C to about 75 ° C. バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、前記フォトレジスト材料の下層のケイ素含有層を少なくとも部分的に不動態化するのに十分な時間で前記マイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程と、前記マイクロエレクトロニクスデバイスをエッチャント含有除去組成物に接触させて、前記マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去する工程とを含み、前記SAM含有組成物がハロゲン化物非含有SAM成分を含む、方法。   A method of removing the photoresist material from a microelectronic device having bulk and hardened photoresist material thereon, the method at least partially passivating a silicon-containing layer underlying the photoresist material. Contacting the microelectronic device with a SAM-containing composition for a time sufficient to contact the microelectronic device with an etchant-containing removal composition to at least partially remove the photoresist material from the microelectronic device. Removing, wherein the SAM-containing composition comprises a halide-free SAM component. バルク及び硬化フォトレジスト材料をその上に有するマイクロエレクトロニクスデバイスから前記フォトレジスト材料を除去する方法であって、前記方法が、前記マイクロエレクトロニクスデバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間で前記マイクロエレクトロニクスデバイスをSAM含有組成物に接触させる工程を含み、前記SAM含有組成物がエッチャント成分を欠く、方法。   A method of removing the photoresist material from a microelectronic device having bulk and hardened photoresist material thereon, the method being sufficient to at least partially remove the photoresist material from the microelectronic device. Contacting the microelectronic device with a SAM-containing composition in a short time, wherein the SAM-containing composition lacks an etchant component.
JP2008506595A 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems Pending JP2008538013A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67185105P 2005-04-15 2005-04-15
PCT/US2006/013430 WO2006113222A2 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Publications (1)

Publication Number Publication Date
JP2008538013A true JP2008538013A (en) 2008-10-02

Family

ID=37115663

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008506595A Pending JP2008538013A (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Country Status (7)

Country Link
EP (1) EP1877530A4 (en)
JP (1) JP2008538013A (en)
KR (1) KR20070121845A (en)
CN (1) CN101198683B (en)
SG (1) SG161280A1 (en)
TW (1) TW200700916A (en)
WO (1) WO2006113222A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153851A (en) * 2008-12-24 2010-07-08 Samsung Electronics Co Ltd Composition for removing photoresist pattern and method for forming metallic pattern using the same

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
KR20100133507A (en) * 2008-05-01 2010-12-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Low ph mixtures for the removal of high density implanted resist
GB0819274D0 (en) * 2008-10-21 2008-11-26 Plastic Logic Ltd Method and apparatus for the formation of an electronic device
MY185453A (en) * 2009-07-30 2021-05-19 Basf Se Post ion implant stripper for advanced semiconductor application
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
DE102021101486A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. PHOTORESIS LAYER SURFACE TREATMENT, COVERING LAYER AND METHOD OF MANUFACTURING A PHOTORESIST STRUCTURE

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000019744A (en) * 1998-04-28 2000-01-21 Kao Corp Release agent composition
JP2000206709A (en) * 1998-11-13 2000-07-28 Kao Corp Stripping agent composition
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
WO2003087936A1 (en) * 2002-04-12 2003-10-23 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
US6440856B1 (en) * 1999-09-14 2002-08-27 Jsr Corporation Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6699829B2 (en) * 2002-06-07 2004-03-02 Kyzen Corporation Cleaning compositions containing dichloroethylene and six carbon alkoxy substituted perfluoro compounds
US20090192065A1 (en) * 2005-06-16 2009-07-30 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000019744A (en) * 1998-04-28 2000-01-21 Kao Corp Release agent composition
JP2000206709A (en) * 1998-11-13 2000-07-28 Kao Corp Stripping agent composition
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
WO2003087936A1 (en) * 2002-04-12 2003-10-23 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153851A (en) * 2008-12-24 2010-07-08 Samsung Electronics Co Ltd Composition for removing photoresist pattern and method for forming metallic pattern using the same

Also Published As

Publication number Publication date
CN101198683B (en) 2011-09-14
EP1877530A2 (en) 2008-01-16
TW200700916A (en) 2007-01-01
EP1877530A4 (en) 2010-06-09
KR20070121845A (en) 2007-12-27
CN101198683A (en) 2008-06-11
SG161280A1 (en) 2010-05-27
WO2006113222A2 (en) 2006-10-26
WO2006113222A3 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP7502388B2 (en) Surface treatment method and composition therefor
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7160815B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7517809B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US20090192065A1 (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
KR20080050488A (en) Removal of particle contamination on patterned silicon/silicon dioxide using dense fluid/chemical formulations
JP2008537343A (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
WO2004100245A1 (en) Removal of post-etch residues in semiconductor processing
JP2008538013A (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2007526653A (en) Enhanced removal of silicon-containing particulate matter using supercritical fluid-based compositions
JP2011520142A (en) Low pH mixture for removal of high density implanted resist
JP2006096984A (en) Composition and method for removing residue
KR20200030121A (en) Methods for the selective removal of ashed spin-on glass
JP2007526623A (en) Compositions and methods for high efficiency cleaning / polishing of semiconductor wafers
TWI500760B (en) Multipurpose acidic, organic solvent based microelectronic cleaning composition
US20070129273A1 (en) In situ fluoride ion-generating compositions and uses thereof
KR102173490B1 (en) Non-aqueous tungsten compatible metal nitride selective etchant and cleaner
TW202330894A (en) Microelectronic device cleaning composition
TW202346541A (en) Formulated alkaline chemistry for polysilicon exhume

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110725

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110801

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111104