EP1676303A2 - Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation - Google Patents

Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation

Info

Publication number
EP1676303A2
EP1676303A2 EP04817126A EP04817126A EP1676303A2 EP 1676303 A2 EP1676303 A2 EP 1676303A2 EP 04817126 A EP04817126 A EP 04817126A EP 04817126 A EP04817126 A EP 04817126A EP 1676303 A2 EP1676303 A2 EP 1676303A2
Authority
EP
European Patent Office
Prior art keywords
dielectric film
organosilicate glass
glass dielectric
treatment
toughening agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04817126A
Other languages
German (de)
English (en)
Inventor
Anil S. Bhanap
Teresa A. Ramos
Nancy Iwamoto
Roger Y. Leung
Ananth Naman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,682 external-priority patent/US7709371B2/en
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Priority claimed from PCT/US2004/031995 external-priority patent/WO2005034194A2/fr
Publication of EP1676303A2 publication Critical patent/EP1676303A2/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Definitions

  • the invention pertains to a method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etching or ashing treatment in such a way as to remove at least a portion of previously existing carbon containing moieties, resulting in a film having reduced hydrophobicity.
  • These films are used as insulating materials in the manufacture of semiconductor devices such as integrated circuits ("ICs"), in order to ensure low dielectric constant and stable dielectric properties in these films.
  • the resultant structures are susceptible to void formation, outgassing and blister formation.
  • the voids in turn may cause an increase in leakage current at elevated voltages and reduction in breakdown voltage.
  • the present invention describes a way to reduce the damage and resulting issues by treating the wafers with silylating agents after the damage is caused.
  • SiCOH based porous low-k materials are susceptible to void formation in ILD during Cu damascene processing. After a toughening agent treatment, the resulting structure is significantly more resistant to void formation. Without being bound to any specific theory or mechanism, it is believed that plasma damage causes carbon depletion in the dielectric, by replacing Si-CH 3 bonds with Si-OH bonds. In damaged porous dielectrics, the pore surface is now covered with Si-OH bonds. In the presence of tensile stress (such as after Cu annealing), adjacent Si-OH groups can condense, thus causing local densification. The evolving reaction products and the stretching of the molecules due to the new links formed, causes voids to occur near the center of the ILD space. Toughening agents prevent void formation by replacing most Si-OH bonds by Si-O-Si-Rn bonds, which avoid condensation reactions. Therefore void formation does not occur.
  • the toughening treatment performed after dielectric trench and via formation and etching and ashing steps repairs carbon depletion and damage to the low-k materials.
  • voids are deterred and the later can withstand internal stresses caused by annealing treatments to the metal filling the trenches and vias.
  • the toughening treatment is conducted by exposing the wafer surface to the silylating agent in liquid or gas form for a period sufficient to complete the reaction with the damaged low-K region.
  • a high temperature bake can be performed to remove remaining solvent and excess toughening agent.
  • a wet cleaning operation can be performed immediately after the toughening agent application, or after the baking step, using a commercially available chemical compatible with the low-k dielectric.
  • a dehydration bake may be performed before the toughening agent treatment, to increase effectiveness of the toughening agent treatment.
  • the effectiveness of the toughening agent treatment can be verified using unpatterned low-k dielectric films subjected to etching and ashing processing followed by the toughening agent treatment.
  • a successful toughening agent treatment results in increased carbon concentration that can be measured by FTIR, EDX, or XPS techniques. Additionally, a water contact angle increase is seen, which demonstrates the hydrophobic nature of the post-treatment surface.
  • the toughening agent treated film also shows a lower dielectric constant extracted from C-V measurements, compared to an etched/ashed film that is not treated with toughening agent.
  • the effectiveness of the toughening agent treatment is demonstrated by reduction or elimination of voids in the low-k dielectric in narrow spaces between Cu trenches after a copper anneal treatment following electroplating of copper, and also by lower profile change in trenches or vias after exposure to reactive solvents.
  • the invention provides a method for deterring the formation of stress-induced voids in an organosilicate glass dielectric film on a substrate, which organosilicate glass dielectric film has been patterned to form vias and trenches therein, and which organosilicate glass dielectric film has thereafter been subjected to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film, and which vias and trenches have been thereafter filled with a metal, and which metal has then been thereafter subjected to an annealing treatment, the method comprising contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said vias and trenches have been filled with a metal, with a toughening agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the
  • the invention also provides a method for forming a microelectronic device which comprises: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least one treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment.
  • the invention provides a microelectronic device produced by a process comprising: a) applying an organosilicate glass dielectric film onto a substrate; b) forming a pattern of vias and trenches in the organosilicate glass dielectric film, and subjecting the organosilicate glass dielectric film to at least on treatment which removes at least a portion of previously existing carbon containing moieties and reduces hydrophobicity of said organosilicate glass dielectric film; c) contacting the organosilicate glass dielectric film with a toughening agent composition at a concentration and for a time period effective to increase the hydrophobicity of the organosilicate glass dielectric film; d) filling the vias and trenches with a metal; and e) subjecting the metal to an annealing treatment.
  • dielectric materials having low dielectric constants typically below 3 are especially desirable because they typically allow faster signal propagation, reduce capacitive effects and cross talk between conductor lines, and lower voltages to drive integrated circuits.
  • One material with a low dielectric constant is silica which can be applied as a foamed dielectric material.
  • air is introduced into silica dielectric materials. Air has a dielectric constant of 1. and when air is introduced into a silica dielectric material in the form of nanoporous or nanometer-scale pore structures, relatively low dielectric constants ("k") are achieved.
  • the term "silica” as used herein, for example, with reference to porous and non-porous dielectric films, is intended to refer to dielectric films prepared by the inventive methods from an organic or inorganic glass base material, e.g., any suitable starting material containing one or more silicon-based dielectric precursors. It should also be understood that the use of singular terms herein is not intended to be so limited, but, where appropriate, also encompasses the plural, e.g., exemplary processes of the invention may be described as applying to and producing a "film” but it is intended that multiple films can be produced by the described, exemplified and claimed processes, as desired.
  • nanoporous silica is attractive because it employs similar precursors, including organic-substituted silanes, e.g., tetramethoxysilane ("TMOS”) and/or tetraethoxysilane (“TEOS”), as are used for the currently employed spin-on-glasses (“SOG”) and chemical vapor disposition (“CVD”) silica Si0 2 .
  • TMOS tetramethoxysilane
  • TEOS tetraethoxysilane
  • SOG spin-on-glasses
  • CVD chemical vapor disposition
  • the composition of the gas is generally not critical, and appropriate gases include relatively pure gases and mixtures thereof, including air.
  • the nanoporous polymer may comprise a plurality of pores. Pores are typically spherical, but may alternatively or additionally have any suitable shape, including tubular, lamellar, discoidal, or other shapes. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any appropriate diameter. It is further contemplated that at least some pores may connect with adjacent pores to create a structure with a significant amount of connected or "open" porosity.
  • Nanoporous silica films have previously been fabricated by a number of methods. Suitable silicon-based precursor compositions and methods for forming nanoporous silica dielectric films, are described, for example, by the following co-owned U.S. patents 6,048,804, 6,022,812; 6,410,149; 6,372,666; 6,509,259; 6,218,497; 6,143,855, 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855 all incorporated herein by reference herein.
  • dielectric and low dielectric materials comprise inorganic-based compounds, such as the silicon-based disclosed in commonly assigned pending U.S. patent application serial No. 10/078919 filed February 19, 2002; (for example NANOGLASS® and HOSP® products commercially available from Honeywell International Inc.).
  • the dielectric and low dielectric materials may be applied by spin coating the material on to the surface, dip coating, spray coating, chemical vapor deposition (CVD), rolling the material onto the surface, dripping the material on to the surface, and/or spreading the material onto the surface.
  • CVD chemical vapor deposition
  • Dielectrics useful for this invention include CVD deposited materials, such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
  • CVD deposited materials such as carbon doped oxides for example, Black Diamond, commercially available from Applied Materials, Inc., Coral, commercially available from Novellus, Aurora, which is commercially available from ASM, and Orion, which is commercially available from Trikon.
  • spin-on material As used herein, the phrases "spin-on material”, “spin-on organic material”, “spin- on composition” and “spin-on inorganic composition” may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface using the spin coating application process.
  • silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, silicate polymers and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • Spin-on-glass materials also includes siloxane polymers and block polymers, hydrogensiloxane polymers of the general formula (H 0-1 .oSi0 1.5-2. o) ⁇ and hydrogensilsesquioxane polymers, which have the formula (HSi0 1 . 5 ) ⁇ , where x is greater than about four. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
  • Spin-on glass materials additionally include organohydridosiloxane polymers of the general formula (Ho-i.oSiOi.5_ 2 .o) n (Ro-i.oSiO 1 .5-2.o)mj and organohydridosilsesquioxane polymers of the general formula (HSi0 1.5 ) n (RSi0 1.5 ) m , where m is greater than zero and the sum of n and is greater than about four and R is alkyl or aryl.
  • organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a CrC 20 alkyl group or a C 6 -C 12 aryl group.
  • organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • organohydridosiloxane and organosiloxane resins can be utilized for forming caged siloxane polymer films that are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers.
  • organohydridosiloxane resin layers are compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics.
  • Compounds that are considerably compatible with the organohydridosiloxane resin layers contemplated herein are disclosed in U.S.
  • Suitable organohydridosiloxane resins utilized herein have the following general formulas:
  • R is selected from substituted and unsubstituted, normal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials.
  • LOSP embodiments particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
  • Nanoporous silica dielectric films with dielectric constants ranging from about 1.5 to about 4 can also be used as one of the layers. Nanoporous silica films are laid down as a silicon-based precursor, aged or condensed in the presence of water and heated sufficiently to remove substantially all of the porogen and to form voids in the film.
  • the silicon-based precursor composition comprises monomers or prepolymers that have the formula: R x -Si-Ly, wherein R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof, L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof, x is an integer ranging from 0 to about 2, and y is an integer ranging from about 2 to about 4.
  • R is independently selected from alkyl groups, aryl groups, hydrogen and combinations thereof
  • L is an electronegative moiety, such as alkoxy, carboxy, amino, amido, halide, isocyanato and combinations thereof
  • x is an integer ranging from 0 to about 2
  • y is an integer ranging from about 2 to about 4.
  • Other nanoporous compounds and methods can be found in U.S. patents 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; and 6,380,
  • cage structure refers to a molecule having at least 10 atoms arranged such that at least one bridge covalently connects two or more atoms of a ring system.
  • a cage structure, cage molecule or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule or compound defines a volume, such that a point located with the volume can not leave the volume without passing through the ring.
  • the bridge and/or the ring system may comprise one or more heteroatoms, and may be aromatic, partially saturated, or unsaturated.
  • Further contemplated cage structures include fullerenes, and crown ethers having at least one bridge.
  • an adamantane or diamantane is considered a cage structure, while a naphthalene compound or an aromatic spiro compound are not considered a cage structure under the scope of this definition, because a naphthalene compound or an aromatic spiro compound do not have one, or more than one bridge.
  • Contemplated cage compounds need not necessarily be limited to being comprised solely of carbon atoms, but may also include heteroatoms such as N, S, O, P, etc. Heteroatoms may advantageously introduce non-tetragonal bond angle configurations.
  • substituents and derivatizations of contemplated cage compounds it should be recognized that many substituents and derivatizations are appropriate. For example, where the cage compounds are relatively hydrophobic, hydrophilic substituents may be introduced to increase solubility in hydrophilic solvents, or vice versa. Alternatively, in cases where polarity is desired, polar side groups may be added to the cage compound. It is further contemplated that appropriate substituents may also include thermolabile groups, nucleophilic and electrophilic groups.
  • Cage molecules or compounds can also be groups that are attached to a polymer backbone, and therefore, can form nanoporous materials where the cage compound forms one type of void (intramolecular) and where the crosslinking of at least one part of the backbone with itself or another backbone can form another type of void (intermolecular). Additional cage molecules, cage compounds and variations of these molecules and compounds are described in detail in PCT/USO 1/32569 filed on October 18, 2001, which is herein incorporated by reference in its entirety.
  • Contemplated polymers may also comprise a wide range of functional or structural moieties, including aromatic systems, and halogenated groups.
  • appropriate polymers may have many configurations, including a homopolymer, and a heteropolymer.
  • alternative polymers may have various forms, such as linear, branched, super- branched, or three-dimensional.
  • the molecular weight of contemplated polymers spans a wide range, typically between 400 Dalton and 400000 Dalton or more.
  • Additives can also be used to enhance or impart particular properties, as is conventionally known in the polymer art, including stabilizers, flame retardants, pigments, plasticizers, surfactants, and the like.
  • Compatible or non-compatible polymers can be blended in to give a desired property.
  • Adhesion promoters can also be used. Such promoters are typified by hexamethyldisilazane, which can be used to interact with available hydroxyl functionality that may be present on a surface, such as silicon dioxide, that was exposed to moisture or humidity.
  • Polymers for microelectronic applications desirably contain low levels (generally less than 1 ppm, preferably less than 10 ppb) of ionic impurities, particularly for dielectric interlayers.
  • the materials, precursors and layers described herein can be and in many ways are designed to be solvated or dissolved in any suitable solvent, so long as the resulting solutions can be applied to a substrate, a surface, a wafer or layered material.
  • Typical solvents are also those solvents that are able to solvate the monomers, isomeric monomer mixtures and polymers.
  • Contemplated solvents include any suitable pure or mixture of organic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs.
  • the solvent may also comprise any suitable single polar and non-polar compounds or mixture thereof.
  • theierm ⁇ polar means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the term “non-polar” means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the solvent or solvent mixture (comprising at least two solvents) comprises those solvents that are considered part of the hydrocarbon family of solvents.
  • Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non-polar; however, there are a few hydrocarbon solvents that could be considered polar.
  • Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic.
  • Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic.
  • Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents.
  • Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together.
  • Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, tridecane, pentadecane, cyclopentane, 2,2,4-trimethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated Irydrocarbons, benzene, 1,2-dimethylbenzene, 1,2,4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methylnaphthalene, ethyltoluene, ligroine. Particularly contemplated solvents include, but
  • the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, 3-pentanone, diethyl ketone, methyl ethyl ketone and the like, alcohols, ketones, esters, ethers and amines.
  • the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
  • the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, and anisole.
  • alternative low dielectric constant material may also comprise additional components.
  • softeners or other protective agents may be added.
  • adhesion promoters may advantageously employed.
  • detergents or antifoam agents may be desirable.
  • a precursor in the form of, e.g., a spin-on-glass composition that includes one or more removable solvents, is applied to a substrate, and then polymerized and subjected to solvent removal in such a way as to form a dielectric film comprising nanometer-scale pores.
  • the film coating is typically catalyzed with an acid or base catalyst and water to cause polymerization/gelation ("aging") during an initial heating step.
  • the film is then cured, e.g., by subjecting the film to one or more higher temperature heating steps to, inter alia, remove any remaining solvent and complete the polymerization process, as needed.
  • Other curing methods include subjecting the film to radiant energy, e,g., ultraviolet, electron beam, microwave energy, and the like.
  • Co-owned U.S. patents 6,204,202 and 6,413,882, incorporated by reference herein, provide silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more polymers or oligomers present in the precursor composition.
  • Co-owned U.S. patent 6,495,479 provides silicon-based precursor compositions and methods for forming nanoporous silica dielectric films by degrading or vaporizing one or more compounds or polymers present in the precursor composition.
  • patent 5,895,263 describes forming a nanoporous silica dielectric film on a substrate, e.g., a wafer, by applying a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer, heating the composition to further condense the polysilica, and decomposing the decomposable polymer to form a porous dielectric layer.
  • a composition comprising decomposable polymer and organic polysilica i.e., including condensed or polymerized silicon polymer
  • Substrates and wafers contemplated herein may comprise any desirable substantially solid material.
  • Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material.
  • the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via- wall or stiffener interface ("copper” includes considerations of bare copper and it's oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide.
  • the "substrate” may even be defined as another polymer chain when considering cohesive interfaces.
  • the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • metal shrinkage induces a stress on the via and trench walls and cause undesirable voids to form inside the dielectric material between the vias and trenches.
  • the organosilicate glass dielectric films are made substantially free of silanols and water by treatment with a toughening agent to restore carbon containing moieties and increase the hydrophobicity of the organosilicate glass dielectric film.
  • a toughening agent to restore carbon containing moieties and increase the hydrophobicity of the organosilicate glass dielectric film.
  • Etching and plasma remove hydrophobic functional groups. Damage to organosilicate glass dielectric films during semiconductor manufacturing processes results from the application of aggressive plasmas and/or etching reagents to etch trenches and vias into dielectric films. Plasmas are also used to remove photoresist films during fabrication of semiconductor devices. The plasmas used are typically composed of the elements oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen (in the form of free atoms, compounds, ions and/or radicals).
  • Dielectric films which are exposed to these plasmas during trench, via, etch and/or photoresist removal are easily degraded or damaged.
  • Porous dielectric films have a very high surface area and are therefore particularly vulnerable to plasmas damage.
  • silica based dielectric films which have organic content (such as methyl groups bonded to Si atoms) are readily degraded by oxygen plasmas. The organic group is oxidized into C0 2 and a silanol or Si-OH group remains on the dielectric surface where the organic group formerly resided.
  • Porous silica films depend on such organic groups (on pore surfaces) to remain hydrophobic. Loss of the hydrophobicity makes the dielectric constant rise (the low dielectric constant of such films is the key desired property of such materials).
  • wet chemical etchants include, for example, amides, such as N-methylpyrrolidinone, dimethylformamide, dimethylacetamide; alcohols such as ethanol and 2-propanol; alcoholamines such as ethanolamine; amines such as triethylamine; diamines such as ethylenediamine and N,N- diethylethylenediamine; triamines such as diethylenetriamine, diamine acids such as ethylenediaminetetracetic acid "EDTA”; organic acids such as acetic acid and formic acid; the ammonium salts of organic acids such as tetramethylammonium acetate; inorganic acids such as sulfuric acid, phosphoric acid, hydrofluoric acid; fluoride salts such as ammonium fluoride; and bases such as ammonium hydroxide and tetramethyl ammonium hydroxide; and hydroxyl amine; commercial formulations developed for post etch wet cleaning such as EKC 505, 525, 450, 265, 270,
  • Ashing agents include plasmas derived from hydrogen, nitrogen, helium, argon, oxygen, and mixtures derived therefrom, and the like.
  • the invention provides methods of imparting hydrophobic properties to organosilicate glass dielectric films present on a substrate during the process of fabricating a semiconductor or IC device.
  • the methods of the invention include the steps of contacting the organosilicate glass dielectric film, after being subjected to at least one etchant or ashing reagent, but before said metal has been subjected to an annealing treatment, with a toughening agent composition at a concentration and for a time period effective to restore at least some of the carbon containing moieties to the organosilicate glass dielectric film and increase the hydrophobicity of the organosilicate glass dielectric film; and (b) removing unreacted toughening agent composition, reaction products and mixtures thereof.
  • the toughening agent composition includes at least one toughening agent, i.e., a compound or charged derivative thereof, suitable for removing silanol moieties from the damaged silica dielectric film.
  • the etchant-damaged nanoporous silica dielectric film is then subjected to wet cleaning step.
  • each R is an independently selected from hydrogen and a hydrophobic organic moiety.
  • the R groups are preferably independently selected from the group of organic moieties consisting of alkyl, aryl and combinations thereof.
  • the R' group may be H, alkyl, aryl, or carbonyl such as COR, CONR, C0 2 R.
  • the R" may be alkyl or carbonyl such as COR, CONR, C0 2 R
  • the toughening agent composition includes at least one of the following toughening agent or compounds: acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethyl silane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrirriethoxysilane, dimethyldimethoxysilane, , trimethylmethoxysilane, methyltrichlorosilane, dimethyl dichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis(dimethylamino)dimethylsilane, bis(diethy
  • the toughening agent composition includes a solvent. Suitable solvents include, for example, ketones, ethers, esters, hydrocarbons, and combinations thereof.
  • the toughening agent composition contacts the damaged silica dielectric film as a liquid, vapor or gas, and/or plasma. If in the form of a plasma, the plasma can be derived from a silane compound, a hydrocarbon, an aldehyde, an ester, an ether, and/or combinations thereof.
  • the terms, "agent” or “agents” herein should be considered to be synonymous with the terms, “reagent” or “reagents,” unless otherwise indicated.
  • a suitable toughening agent composition includes one or more toughening agents able to remove silanol groups from the surface of an etched and/or ashed organosilicate glass dielectric film that it is desired to render hydrophobic.
  • a toughening agent is a compound having a formula selected from the group consisting of Formulas I (l-13 ): (l) [-SiR 2 NR'-]n where n >2 and may be cyclic; (2) R 3 SiNR'SiR 3 , (3) (R 3 Si) 3 N; (4) R 3 SiNR' 2; (5) R 2 Si(NR') 2 ; (6) RSi(NR') 3 ; (7) R x SiCl y , (8) R x Si(OH) y , (9) R 3 SiOSiR' 3 , (10) R x Si(OR') y , (11) R x Si(OCOR' ) y , (12) R x SiH y ; (13) R x Si[
  • each R is an independently selected from hydrogen and a hydrophobic organic moiety.
  • the R groups are preferably independently selected from the group of organic moieties consisting of alkyl, aryl and combinations thereof.
  • the R' group may be H, alkyl, aryl, or carbonyl such as COR, CONR, C0 2 R.
  • the R" may be alkyl or carbonyl such as COR, CONR, C0 2 R
  • the alkyl moiety is functionalized or non-functionalized and is selected from the group consisting of straight alkyl, branched alkyl, cyclic alkyl and combinations thereof, and wherein said alkyl moiety ranges in size from Ci to about C ⁇ 8 .
  • the aryl moiety is substituted or unsubstituted and ranges in size from C 5 to about C 18 .
  • the toughening agent is an acetoxysilane, or, for example, a monomer compound such as acetoxytrimethylsilane, acetoxysilane, diacetoxysilane, triacetoxysilane, diacetoxydimethylsilane, methyltriacetoxysilane, phenyltriacetoxysilane, diphenyldiacetoxysilane, , methyltriethoxysilane, dimethyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorsilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, bis(dimethylamino)dimethylsilane,
  • Additional toughening agents include multifunctional surface modification agents as described in detail in U.S. patent 6,208,014, incorporated by reference herein, as described above. Such multifunctional surface modification agents can be applied in either vapor or liquid form, optionally with or without co-solvents.
  • Suitable co-solvents include, e.g., ketones, such as acetone, diisopropylketone, 2- heptanone, 3-pentanone, and others, as described in detail in co-owned U.S. patent 6,395,651, the disclosure of which is incorporated by reference herein. For example, as described in detail in U.S.
  • certain preferred surface modification agents will have two or more functional groups and react with surface silanol functional groups while minimizing mass present outside the structural framework of the film, and include, e.g., surface silanols may condense with suitable silanols such as R x Si(OH) 4-x Formula II
  • each R is independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these.
  • R is an alkyl
  • the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from to about Cj 8 , or greater, and more preferably from d to about C 8 .
  • R is aryl
  • the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C 5 to about C 18 , or greater, and more preferably from C 5 to about C 8 .
  • the aryl moiety is a heteroaryl.
  • alkoxy silanes may be used as the toughening agent, e.g. suitable alkoxy silanes such as R x Si(OR') 4-x wherein R are independently selected moieties, such as H and/or an organic moiety such as an alkyl, aryl or derivatives of these; R' are independently selected alkyl or aryl moieties.
  • R or R' is an alkyl
  • the alkyl moiety is optionally substituted or unsubstituted, and may be straight, branched or cyclic, and preferably ranges in size from C ⁇ to about C ⁇ 8 , or greater, and more preferably from C ⁇ to about C 8 .
  • the aryl moiety preferably consists of a single aromatic ring that is optionally substituted or unsubstituted, and ranges in size from C 5 to about C 18 , or greater, and more preferably from C 5 to about C 8 .
  • the aryl moiety is a heteroaryl.
  • the R groups independently selected from H, methyl, ethyl, propyl, phenyl, and/or derivatives thereof, provided that at least one R is organic.
  • both R groups are methyl
  • a tri-functional surface modification agent is methyltrimethoxysilane.
  • a suitable silane according to the invention has the general formula of R x Si(NR 2 ) 4-x Formula III
  • tri-functional toughening agents include, e.g., tris(dimethylamino)methylsilane, tris(dimethylamino)phenylsilane, and/or tris(dimethylamino)silane.
  • disubstituted silanes may be used such as hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, and bisdiethylaminodimethylsilane.
  • modification agents include, e j., methyltris(methylethylketoxime)silane or 2- trimethylsiloxypent-2-ene-4-one respectively .
  • surface modification agents include, e.g., dimethylsilyldiformamide, dimethylsilyldiacetamide, dimethylsilyldiisocyante, trimethylsilyltriisocyante.
  • a suitable silane according to the invention has the general formula of R x SiCl 4-x Formula V
  • tri-functional surface modification agents according to Formula V include, e.g., methyltrichlorosilane.
  • the capping reagent includes one or more organoacetoxysilanes which have the following general formula, (R,) x Si(OCOR 2 ) y Formula VI
  • x is an integer ranging in value from 1 to 2
  • x and y can be the same or different and y is an integer ranging from about 2 to about 3, or greater.
  • organoacetoxysilanes including multifunctional alkylacetoxysilane and/or arylacetoxysilane compounds, include, simply by way of example and without limitation, methyltriacetoxysilane ("MTAS"), dimethyldiacetoxysilane (DMDAS), phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
  • MTAS methyltriacetoxysilane
  • DDAS dimethyldiacetoxysilane
  • phenyltriacetoxysilane and diphenyldiacetoxysilane and combinations thereof.
  • the toughening agents are mixed with a suitable solvent such as 2- heptanone, applied to the nanoporous silica surface in the form of a vapor or liquid, and then dried.
  • a suitable solvent such as 2- heptanone
  • a mixture of 50% hexamethyldisilazane (HMDZ) and 50% 3-pentanone is used.
  • the liquid is spin coated on to a surface, substrate or wafer.
  • the coated surface is then baked on bake plates at up to 425°C. Baking is then followed by PVD barrier and Cu-seed deposition.
  • a mixture of dimethyldiacetoxysilane (DMDAS) and 3-pentanone is used.
  • the liquid is spin coated on to a surface, wafer or substrate.
  • the coated surface is then baked on bake plates at up to 425°C. This baking step is followed by PVD barrier and Cu- seed deposition.
  • a wet clean using chemicals such as AP395 or dilute HF is performed after the bake step in the above-mentioned embodiments.
  • the wet clean is useful to remove any resist residues remaining after the ash.
  • Untreated low-k dielectric materials after etch and ash are prone to attack by the wet clean agents.
  • the toughening agent treatment significantly improves resistance of the low-k dielectric to attack by wet clean.
  • a copper surface may be exposed during the toughening agent treatment, especially at the bottom of via.
  • the wet clean can also remove any reaction product between toughening agent and an exposed copper surface.
  • a wet clean using AP395 can clean a copper (or any suitable metal or metal alloy) surface that is previously exposed to a toughening agent treatment using DMDAS.
  • the vias and trenches may be filled with a metal; and the metal subjected to an annealing treatment.
  • metal means those elements that are in the d-block and f-block of the Periodic Chart of the Elements, along with those elements that have metal-like properties, such as silicon and germanium.
  • d-block means those elements that have electrons filling the 3d, 4d, 5d, and 6d orbitals surrounding the nucleus of the element.
  • the phrase “f-block” means those elements that have electrons filling the 4f and 5f orbitals surrounding the nucleus of the element, including the lanthanides and the actinides.
  • Preferred metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver coated copper, and silver coated aluminum.
  • the term "metal” also includes alloys, metal/metal composites, metal ceramic composites, metal polymer composites, as well as other metal composites.
  • Annealing may be done by heating at temperatures of from about 150 °C to about 350 °C, or 200 °C to 250 °C for about 10 seconds to about 60 minutes. These times and temperatures are not critical as long as annealing is performed.
  • the wet clean can be performed before the bake process in the first contemplated embodiment.
  • the high temperature bake step is performed after the wet clean.
  • An advantage of this method can be that the wet clean can remove excess toughening agent and any reaction product with any exposed copper surface, before it is "hardened” by the bake process. This can result in lower volatile components in the dielectric material and a cleaner copper surface. Both can result in an improved long term reliability.
  • an additional dehydration bake at from about 100 °C to about 400 °C from 1 min to 120 min is performed before the toughening agent (TA) treatment. The dehydration bake removes any moisture adsorbed in the damaged low-k dielectric. Removal of moisture from the dielectric prior to toughening agent treatment renders the treatment more effective.
  • the toughening agent composition is provided by exposing the etchant-damaged organosilicate glass dielectric film to a plasma which is derived from any of the above mentioned toughening agent.
  • a plasma generating chamber such as a plasma enhanced chemical vapor deposition (PECVD) system
  • PECVD plasma enhanced chemical vapor deposition
  • the vapor of a toughening agent composition and argon vapor are passed through the plasma generating chamber; then an RF energy source is activated to create a plasma; the argon gas is included to help promote the formation of plasma.
  • the plasma is composed of ionic fragments derived from the toughening agent composition; for example, the ion fragment CH 3 Si + is generated from methylsilane (CH 3 SiH 3 ). This fragment reacts with silanol groups to form hydrophobic Si-CH moieties.
  • Any of the above mentioned toughening agent compositions can be used for this plasma induced surface treatment.
  • suitable toughening agent compositions for a plasma induced surface modification treatment include C] - C ⁇ 2 alkyl and aromatic hydrocarbons. The most preferred hydrocarbon is methane.
  • Other reagents for plasma induced toughening agent compositions include aldehydes, esters, acid chlorides, and ethers. Suitable aldehydes include acetaldehyde and benzaldehyde; suitable esters include ethyl acetate and methyl benzoate; suitable acid chlorides include acetyl chloride and benzyl chloride; and suitable ethers include diethyl ether and anisole.
  • a wide variety of single wafer or multiple wafer (batch) plasma systems can be used for this process; these systems include so called downstream ashers, such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems.
  • downstream ashers such as the Gasonics L3510 photoresist asher, PECVD dielectric deposition systems such as the Applied Materials P5000, or reactive ion etch ("RIE") systems.
  • the conditions for the plasma process are within the following ranges: chamber temperature, 20C to 450°C; RF power, 50W to 1000W; chamber pressure, 0.05 to 100 torr; plasma treatment time, 5 seconds to 5 minutes; and surface modification flow rate, 100 - 2000 seem; inert gas flow rate (typically argon), 100- 2000sccm.
  • the invention is also contemplated to encompass methods of imparting a hydrophobic surface to silica dielectric films, porous and/or nonporous, whether damaged or not, by application of the above-described plasma surface treatments. Semiconductor devices or ICs manufactured using these methods are also a part of the present invention.
  • Treated dielectric layers and materials may be utilized or incorporated into any suitable electronic component.
  • Electronic components are generally thought to comprise any dielectric component or layered dielectric component that can be utilized in an electronic-based product.
  • Contemplated electronic components comprise circuit boards, chip packaging, dielectric components of circuit boards, printed-wiring boards, and other components of circuit boards, such as capacitors, inductors, and resistors.
  • Electronic-based products can be "finished” in the sense that they are ready to be used in industry or by other consumers.
  • finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control.
  • intermediate products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
  • Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up mock-up.
  • a prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
  • Electronic products and components may comprise layered materials, layered components, and components that are laminated in preparation for use in the component or product. The following non-limiting examples serve to illustrate the invention.
  • Two types of toughening agents (TA-1 and TA-2) were evaluated.
  • the toughening agents were applied to the wafers in a standard spin-on dielectric (SOD) coater, and the wafers were hot plate baked at 125°C, 200°C, and 350°C for 1 minute each. Film thickness and refractive index were measured using ellipsometry. Elemental composition was analyzed using FTIR.
  • Dielectric constant was measured on an Hg probe at 0.1 MHz. Thermal stability of the films was evaluated by thermal desorption mass spectroscopy (TDMS).
  • TDMS thermal desorption mass spectroscopy
  • Single level metal Cu damascene structures were prepared with 3000A NANOGLASS E films as the ILD and 2000A TEOS oxide a cap layer.
  • Cu annealing was performed at 200°C for 1 hr in a N 2 ambient, following which, a focused ion beam scanning electron microscope (FIB-SEM) was used to inspect for voids in the ILD. After Cu chemical mechanical polishing (CMP), 25 die per wafer were electrically tested using an automatic prober.
  • CMP chemical mechanical polishing
  • the dielectric constant (k) of the low-k film is high (> 3.0) after etch and ash processes. This is expected to be due to the moisture adsorption by the Si-OH groups.
  • the toughening agent treatment reduced the k to a near post-cure level.
  • Table II shows that after etch and ash NANOGLASS E film was hydrophilic and had a high etch rate in most wet clean chemistries, making them unsuitable for wet clean.
  • TA-1 treatment made the film hydrophobic as well as resistant to some of the wet clean chemicals.
  • TDMS spectra of the dielectric film at 400 °C show that evolution of volatile species in TA-1 treated film is similar to the film after etch and ash.
  • TA-2 treated film shows a significantly improved thermal stability with low volatiles.
  • significant voids can be seen in the ILD space after Cu annealing. No voids were observed prior to the annealing. Similar observations have been reported previously with porous low-k materials. (See A. Matsushita, N. Ohashi, K. Inukai, H. J. Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto, and N.
  • Line-to-line leakage current was not affected by the toughening agent treatment.
  • Control wafers showed a wide distribution of serpentine resistance.
  • the high resistance tail was found to be due to defects such blisters, Cu corrosion, and pitting which were likely caused by moisture trapped in damaged ILD region.
  • Toughening agent treated wafers showed tight resistance distribution, since moisture entrapment and associated defects were eliminated. While median capacitance was not affected by the toughening agent treatment, the treated comb capacitor structures had a higher yield due to fewer pitting/blister defects.
  • a toughening agent treatment was performed using 27% DMDAS in 3-pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N 2 .
  • EXAMPLE 3 6000 A NANOGLASS 1.9 films, commercially available from Honeywell International Inc. of Sunnyvale, California, were formed on Si wafers by a standard spin coating method. Films were cured in N 2 at 425 °C. Plasma damage was induced into the films by sequentially subjecting them to the following processes:
  • Etch 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40°C (20sec).
  • Toughening agent treatment was performed using 27% DMDAS in 3-Pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N 2
  • EXAMPLE 4 Plasma damage was imparted to a commercial porous CVD low k dielectric film by sequentially subjecting them to the following processes: Etch: 40mT, 1400W160 Ar/ 80 CF4 /20 0 2 40mT, 1400W, 20 s Ash: 400W/45mT/ lOOsccm 0 2 , 40°C (30 sec).
  • a toughening agent treatment was performed using 27% DMDAS in 3-pentanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N 2
  • 6000 A NANOGLASS films commercially available from Honeywell International Inc. of Sunnyvale, California, were prepared using a conventional bake process of 125 °C, 200 °C, and 350 °C. Instead of a conventional furnace cure at 425 °C (60 min), a UV cure at 425 °C (3 min) was used. Plasma damage was imparted by sequentially subjecting them to the following processes:
  • Plasma damage was induced into 6000A furnace cured NANOGLASS films, commercially available from Honeywell International Inc. of Sunnyvale, California, by sequentially subjecting them to the following processes: Etch: 1000W/40mT/10sccm C 4 F 8 /200sccmCO/300sccmAr/100sccmN 2 , 40 °C (20sec). Ash (one of the following processes)
  • Toughening agent treatment was performed using 9% DMDAS in 2-heptanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N 2
  • Plasma damage was induced into 6000 A furnace cured NANOGLASS films by sequentially subjecting them to the following processes:
  • Ash one of the following processes: To test the effect of queue time before toughening agent treatment, the samples were held in ambient conditions for times varying from 1 hr to 360 hr, after which the toughening agent treatments were performed.
  • Toughening agent treatment was performed using 4.5% DMDAS in 2-heptanone. After spin coating the toughening agent material, the films were baked for 1 min. each at 125 °C, 200 °C and 350 °C in N 2 .
  • Plasma damage was induced into 6000 A furnace cured NANOGLASS films, commercially available from Honeywell International Inc. of Sunnyvale,
  • Toughening agent treatment was performed using 18% DMDAS in 2-heptanone.

Abstract

L'invention concerne un procédé permettant de restaurer l'hydrophobie de surfaces de films diélectriques en verre organosilicate ayant été soumis à un traitement de gravure ou de polissage. Ces films sont utilisés comme matériaux isolants dans la production de circuits intégrés pour garantir des propriétés diélectriques basses et stables dans ces films. Ce procédé empêche la formation de vides, induits par la contrainte, dans ces films. Un film diélectrique en verre organosilicate reçoit un motif pour former des traversées et des tranchées. Ce film est soumis à un réactif de gravure ou de polissage de manière à enlever au moins une partie des fractions contenant du carbone préexistantes et à réduire l'hydrophobie de ce film diélectrique en verre organosilicate. Les traversées et tranchées sont ensuite remplies d'un métal et soumises à un traitement de recuit. Ensuite le film est exposé au réactif de gravure ou de polissage. Mais avant d'être soumis à un traitement de recuit, le film est mis en contact avec une composition d'agent de durcissement pour restaurer partiellement les fractions contenant du carbone et augmenter l'hydrophobie du film diélectrique en verre organosilicate.
EP04817126A 2003-10-08 2004-09-24 Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation Withdrawn EP1676303A2 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US10/940,682 US7709371B2 (en) 2003-01-25 2004-09-15 Repairing damage to low-k dielectric materials using silylating agents
PCT/US2004/031995 WO2005034194A2 (fr) 2003-10-08 2004-09-24 Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation

Publications (1)

Publication Number Publication Date
EP1676303A2 true EP1676303A2 (fr) 2006-07-05

Family

ID=34555813

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04817126A Withdrawn EP1676303A2 (fr) 2003-10-08 2004-09-24 Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation

Country Status (3)

Country Link
EP (1) EP1676303A2 (fr)
KR (1) KR101064336B1 (fr)
TW (1) TWI358093B (fr)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10619261B2 (en) * 2017-03-27 2020-04-14 Ulvac, Inc. Manufacturing method for electronic component

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
AU3055599A (en) * 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2005034194A2 *

Also Published As

Publication number Publication date
TWI358093B (en) 2012-02-11
KR20070037562A (ko) 2007-04-05
TW200531183A (en) 2005-09-16
KR101064336B1 (ko) 2011-09-16

Similar Documents

Publication Publication Date Title
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) Method for making toughening agent materials
WO2005034194A2 (fr) Reparation des dommages de materiaux dielectriques a faible permittivite a l'aide d'agents de silylation
US7678712B2 (en) Vapor phase treatment of dielectric materials
US7500397B2 (en) Activated chemical process for enhancing material properties of dielectric films
JP5307963B2 (ja) 誘電フィルム及び材料における疎水性を回復する方法
JP5161571B2 (ja) 処理剤物質
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060428

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PL PT RO SE SI SK TR

RIN1 Information on inventor provided before grant (corrected)

Inventor name: RAMOS, TERESA, A.

Inventor name: LEUNG, ROGER, Y.

Inventor name: IWAMOTO, NANCY

Inventor name: BHANAP, ANIL, S.

Inventor name: NAMAN, ANANTH

DAX Request for extension of the european patent (deleted)
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20130403