TW202204297A - 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物 - Google Patents

用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物 Download PDF

Info

Publication number
TW202204297A
TW202204297A TW110122322A TW110122322A TW202204297A TW 202204297 A TW202204297 A TW 202204297A TW 110122322 A TW110122322 A TW 110122322A TW 110122322 A TW110122322 A TW 110122322A TW 202204297 A TW202204297 A TW 202204297A
Authority
TW
Taiwan
Prior art keywords
iodine
layer
etching
silicon
etch
Prior art date
Application number
TW110122322A
Other languages
English (en)
Inventor
法布里齊奧 馬切吉亞尼
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202204297A publication Critical patent/TW202204297A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

揭露了用於蝕刻含矽膜以形成圖案化結構之方法、用於在形成圖案化結構的同時補強和/或增強和/或最小化圖案化掩模層的損害之方法以及用於在形成圖案化結構的製程中增加圖案化掩模層的抗蝕刻性之方法。該方法包括使用經活化的具有式Cn Hx Fy Iz 的含碘蝕刻化合物作為蝕刻氣體,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4。該經活化的含碘蝕刻化合物產生碘離子,該碘離子被注入圖案化的硬掩模層中,從而增強該圖案化的掩模層。

Description

用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
相關申請的交叉引用
本申請要求於2020年6月26日提交的美國申請案號16/913,696之權益,出於所有目的將該申請以其全文藉由援引併入本文。
揭露了用於蝕刻含矽膜以形成圖案化結構之方法、用於在形成圖案化結構的同時補強和/或增強和/或最小化圖案化掩模層的損害之方法以及用於在使用含碘蝕刻化合物形成圖案化結構的製程中增加圖案化掩模層的抗蝕刻性之方法。含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4。
在半導體工業中,對於3D NAND,對多個SiO/SiN或SiO/多晶矽(p-Si)層的堆疊進行蝕刻係關鍵的。參見,例如,三星電子公司(Samsung Electronics Co., Ltd.)的US 2011/0180941。在掩模與被蝕刻層之間具有高選擇性的蝕刻劑係必要的。此外,經蝕刻的結構應該具有直的垂直輪廓而無彎曲以及低的線蝕刻粗糙度(LER)。
傳統的蝕刻氣體包括八氟環丁烷(cC4 F8 )、六氟-1,3-丁二烯(C4 F6 )、CF4 、CH2 F2 、CH3 F、和/或CHF3 。眾所周知的是選擇性和聚合物沈積速率隨著C : F的比率增加而增加(即C4 F6 > C4 F8 > CF4 )。參見,例如,Hung等人的US 6387287。另外,其他氣體諸如惰性氣體像Ar、Kr或Xe被添加到電漿中,在該電漿中它們被電離並加速到晶圓表面,從而轟擊該表面並支持蝕刻製程。因為它們係惰性氣體,所以它們不直接參與蝕刻製程的化學反應。
然而,至少由於在電漿蝕刻製程期間在側壁上不足夠的抗蝕刻聚合物沈積,傳統的蝕刻化學過程可能不能夠提供具有高於20 : 1的縱橫比的特征(feature),諸如孔洞或溝槽,該特徵在較新的應用(例如3D NAND)中是必要的。側壁-Cx Fy -聚合物可能易於蝕刻,其中x在從0.01至1的範圍內並且y在從0.01至4的範圍內。其結果係,經蝕刻的圖案可能不是垂直的,並且蝕刻結構可能示出彎曲、尺寸變化、圖案坍塌和/或增加的粗糙度。
彎曲可能由掩模層的側壁蝕刻產生,該掩模層可經常是無定形碳(a-C)材料。a-C材料可能被電漿中的氧自由基蝕刻,這可能引起增加的掩模開口並導致彎曲狀、或成角的/曲線的蝕刻結構。
含碘化合物已被用作蝕刻氣體和/或降低全球變暖潛能(GWP)。例如,Gupta等人(US 10,607,850)揭露了含碘蝕刻化合物具有式Ca Hx Fy Iz ,其中a = 1-3,x = 0-6,y = 1-7,z = 1-2,當a = 1時x + y + z = 4,當a = 2時x + y + z = 4或6,當a = 3時x + y + z = 6或8。Chung(美國專利案號9,460,935)揭露了在尤其使用1,1,2,2-四氟-1-碘-乙烷產生的電漿下蝕刻第一蝕刻層和第二蝕刻層。還參見Karecki等人「Plasma etching of dielectric films with novel iodofluorocarbon chemistries: iodotrifluoroethylene and 1-iodoheptafluoropropane [採用新穎的碘氟碳化合物化學:碘三氟乙烯和1-碘七氟丙烷,電漿蝕刻介電膜]」,J. Vac. Sci. Technol. [真空科學技術雜誌] A 16, 755 (1998);JP 2006/108484;以及TWI343601。與全氟化碳化合物相比,含碘蝕刻化合物已經示出在全球變暖排放方面的顯著降低(參見S. Karecki、L. Pruette、以及R. Reif,J. Electrochem. Soc. [電化學學會雜誌] 145, 4305 (1998))。
重要的是使彎曲最小化並實現用於當前應用(例如,接觸蝕刻或3D NAND)所需要的高縱橫比(即,最高達200 : 1)。此外,現今的蝕刻已不限於對光阻劑掩模的選擇性。同樣重要的是在其他材料諸如a-C、SiN、p-Si、SiC或其他形式的Sia Cb Oc Hd Ne 材料(其中a > 0;b、c、d和e ≥ 0)之中獲得高選擇性。
因此,依然需要適用於電漿蝕刻應用中的蝕刻氣體組成物,該等組成物維持對於寬範圍的製程條件的選擇性和高縱橫比。
揭露了形成圖案化結構之方法,該方法包括: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在含矽層上的圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4; 使電漿活化以產生經活化的含碘蝕刻化合物;以及 允許在該經活化的含碘蝕刻化合物與該含矽膜之間進行蝕刻反應,以從該圖案化掩模層選擇性地蝕刻該含矽膜,從而形成該圖案化結構。所揭露之方法可以包括以下方面中的一項或多項: ●  進一步包括將氧化劑引入該反應腔室中; ●  氧化劑選自O2 、O3 、CO、CO2 、NO、N2 O、NO2 、H2 O、H2 O2 、COS、SO2 以及它們的組合; ●  氧化劑係O2 ; ●  進一步包括將惰性氣體引入該反應腔室中; ●  惰性氣體選自由He、Ar、Xe、Kr、Ne和N2 組成之群組; ●  惰性氣體係Ar; ●  惰性氣體係Xe; ●  惰性氣體係Kr; ●  含碘蝕刻化合物係氫氟烴化合物; ●  含碘蝕刻化合物係有機氟化合物; ●  含碘蝕刻化合物係含氟烴化合物; ●  含碘蝕刻化合物係氟碳化合物; ●  含碘蝕刻化合物係烴化合物; ●  含碘蝕刻化合物不含氫; ●  含碘蝕刻化合物含有氫; ●  含碘蝕刻化合物含有氟; ●  含碘蝕刻化合物不含氟; ●  含碘蝕刻化合物係C4 F9 I、C5 F11 I、C6 F13 I、C7 F15 I、C8 F17 I、C9 F19 I、C10 F21 I或它們的異構物; ●  含碘蝕刻化合物係C4 HF8 I、C5 HF10 I、C6 HF12 I、C7 HF14 I、C8 HF16 I、C9 HF18 I、C10 HF20 I、C4 HF6 I、C5 HF8 I、C6 HF10 I、C7 HF12 I、C8 HF14 I、C9 HF16 I、C10 HF18 I、C4 HF4 I、C5 HF6 I、C6 HF8 I、C7 HF10 I、C8 HF12 I、C9 HF14 I、C10 HF16 I、C4 HF7 I2 、C5 HF9 I2 、C6 HF11 I2 、C7 HF13 I2 、C8 HF15 I2 、C9 HF17 I2 、C10 HF19 I2 、C4 HF5 I2 、C5 HF7 I2 、C6 HF9 I2 、C7 HF11 I2 、C8 HF13 I2 、C9 HF15 I2 、C10 HF17 I2 、C4 HF3 I2 、C5 HF5 I2 、C6 HF7 I2 、C7 HF9 I2 、C8 HF11 I2 、C9 HF13 I2 、C10 HF15 I2 、C4 HF6 I3 、C5 HF8 I3 、C6 HF10 I3 、C7 HF12 I3 、C8 HF14 I3 、C9 HF16 I3 、C10 HF18 I3 、C4 HF4 I3 、C5 HF6 I3 、C6 HF8 I3 、C7 HF10 I3 、C8 HF12 I3 、C9 HF14 I3 、C10 HF16 I3 、C4 HF5 I4 、C5 HF7 I4 、C6 HF9 I4 、C7 HF11 I4 、C8 HF13 I4 、C9 HF15 I4 、C10 HF17 I4 、C4 HF3 I4 、C5 HF5 I4 、C6 HF7 I4 、C7 HF9 I4 、C8 HF11 I4 、C9 HF13 I4 、C10 HF15 I4 、C4 HFI4 、C5 HF3 I4 、C6 HF5 I4 、C7 HF7 I4 、C8 HF9 I4 、C9 HF11 I4 、C10 HF13 I4 或它們的異構物; ●  含碘蝕刻化合物係C4 H2 F7 I、C5 H2 F9 I、C6 H2 F11 I、C7 H2 F13 I、C8 H2 F15 I、C9 H2 F17 I、C10 H2 F19 I、C4 H2 F5 I、C5 H2 F7 I、C6 H2 F9 I、C7 H2 F11 I、C8 H2 F13 I、C9 H2 F15 I、C10 H2 F17 I、C4 H2 F6 I2 、C5 H2 F8 I2 、C6 H2 F10 I2 、C7 H2 F12 I2 、C8 H2 F14 I2 、C9 H2 F16 I2 、C10 H2 F18 I2 、C4 H2 F4 I2 、C5 H2 F6 I2 、C6 H2 F8 I2 、C7 H2 F10 I2 、C8 H2 F12 I2 、C9 H2 F14 I2 、C10 H2 F16 I2 、C4 H2 F5 I3 、C5 H2 F7 I3 、C6 H2 F9 I3 、C7 H2 F11 I3 、C8 H2 F13 I3 、C9 H2 F15 I3 、C10 H2 F17 I3 、C4 H2 F3 I3 、C5 H2 F5 I3 、C6 H2 F7 I3 、C7 H2 F9 I3 、C8 H2 F11 I3 、C9 H2 F13 I3 、C10 H2 F15 I3 、C4 H2 F4 I4 、C5 H2 F6 I4 、C6 H2 F8 I4 、C7 H2 F10 I4 、C8 H2 F12 I4 、C9 H2 F14 I4 、C10 H2 F16 I4 、C4 H2 F2 I4 、C5 H2 F4 I4 、C6 H2 F6 I4 、C7 H2 F8 I4 、C8 H2 F10 I4 、C9 H2 F12 I4 、C10 H2 F14 I4 或它們的異構物; ●  含碘蝕刻化合物係C4 H3 F6 I、C5 H3 F8 I、C6 H3 F10 I、C7 H3 F12 I、C8 H3 F14 I、C9 H3 F16 I、C10 H3 F18 I、C4 H3 F4 I、C5 H3 F6 I、C6 H3 F8 I、C7 H3 F10 I、C8 H3 F12 I、C9 H3 F14 I、C10 H3 F16 I、C4 H3 F5 I2 、C5 H3 F7 I2 、C6 H3 F9 I2 、C7 H3 F11 I2 、C8 H3 F13 I2 、C9 H3 F15 I2 、C10 H3 F17 I2 、C4 H3 F3 I2 、C5 H3 F5 I2 、C6 H3 F7 I2 、C7 H3 F9 I2 、C8 H3 F11 I2 、C9 H3 F13 I2 、C10 H3 F15 I2 、C4 H3 F4 I3 、C5 H3 F6 I3 、C6 H3 F8 I3 、C7 H3 F10 I3 、C8 H3 F12 I3 、C9 H3 F14 I3 、C10 H3 F16 I3 、C4 H3 F2 I3 、C5 H3 F4 I3 、C6 H3 F6 I3 、C7 H3 F8 I3 、C8 H3 F10 I3 、C9 H3 F12 I3 、C10 H3 F14 I3 、C4 H3 F3 I4 、C5 H3 F5 I4 、C6 H3 F7 I4 、C7 H3 F9 I4 、C8 H3 F11 I4 、C9 H3 F13 I4 、C10 H3 F15 I4 、C4 H3 F1 I4 、C5 H3 F3 I4 、C6 H3 F5 I4 、C7 H3 F7 I4 、C8 H3 F9 I4 、C9 H3 F11 I4 、C10 H3 F13 I4 或它們的異構物; ●  含碘蝕刻化合物係C4 H4 F5 I、C5 H4 F7 I、C6 H4 F9 I、C7 H4 F11 I、C8 H4 F13 I、C9 H4 F15 I、C10 H4 F17 I、C4 H4 F3 I、C5 H4 F5 I、C6 H4 F7 I、C7 H4 F9 I、C8 H4 F11 I、C9 H4 F13 I、C10 H4 F15 I、C4 H4 F4 I2 、C5 H4 F6 I2 、C6 H4 F8 I2 、C7 H4 F10 I2 、C8 H4 F12 I2 、C9 H4 F14 I2 、C10 H4 F16 I2 、C4 H4 F2 I2 、C5 H4 F4 I2 、C6 H4 F6 I2 、C7 H4 F8 I2 、C8 H4 F10 I2 、C9 H4 F12 I2 、C10 H4 F14 I2 、C4 H4 F3 I3 、C5 H4 F5 I3 、C6 H4 F7 I3 、C7 H4 F9 I3 、C8 H4 F11 I3 、C9 H4 F13 I3 、C10 H4 F15 I3 、C4 H4 FI3 、C5 H4 F3 I3 、C6 H4 F5 I3 、C7 H4 F7 I3 、C8 H4 F9 I3 、C9 H4 F11 I3 、C10 H4 F13 I3 、C4 H4 F2 I4 、C5 H4 F4 I4 、C6 H4 F6 I4 、C7 H4 F8 I4 、C8 H4 F10 I4 、C9 H4 F12 I4 、C10 H4 F14 I4 、C4 H4 I4 、C5 H4 F2 I4 、C6 H4 F4 I4 、C7 H4 F6 I4 、C8 H4 F8 I4 、C9 H4 F10 I4 、C10 H4 F12 I4 或它們的異構物; ●  含碘蝕刻化合物係C4 F9 I或其異構物; ●  經電漿活化的含碘蝕刻化合物與含矽膜反應以形成揮發性副產物; ●  將該等揮發性副產物從該反應腔室中移除; ●  含矽膜包含氧、氮、碳、氫、碳或它們的組合; ●  含矽膜包含以下各項的層:氧化矽(SiO)、氮化矽(SiN)、晶體Si、多晶矽(p-Si)、多晶矽(polycrystalline silicon)、無定形矽、低-k SiCOH、SiOCN、SiC、SiON和Sia Ob Hc Cd Ne (其中a > 0;b、c、d和e ≥ 0)、交替的SiO和SiN(ONON)層、交替的SiO和p-Si(OPOP)層; ●  含矽膜視需要含有摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、或它們的組合; ●  含矽膜包含氧、氮、碳、氫、或它們的組合; ●  含矽膜係SiOx Ny Hz Ck 中x在從0至2的範圍內,y在從0至4的範圍內,z在從0至約1的範圍內並且k在從0至1的範圍內; ●  含矽膜包含SiO層; ●  含矽膜係SiN層; ●  含矽膜包含交替的SiO和SiN(ONON)層; ●  含矽膜包含交替的SiO和p-Si(OPOP)層; ●  含矽膜包含摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge; ●  交替層包含以下項的層:氧化矽、氮化矽、多晶矽、結晶矽、SiOCH、SiON、Sia Ob Cc Nd He (其中a > 0;b、c、d和e ≥ 0)或它們的組合; ●  交替層包含氧原子、氮原子、碳原子、氫原子或它們的組合; ●  交替層係含矽膜; ●  交替層包含氧化矽層和氮化矽層; ●  交替層包含氧化矽和氮化矽的交替層; ●  交替層係氧化矽和氮化矽的交替層; ●  交替層包含氧化矽層和多晶矽層; ●  交替層包含氧化矽和多晶矽的交替層; ●  交替層係氧化矽和多晶矽的交替層; ●  硬掩模層設置在含矽層上; ●  硬掩模層係圖案化硬掩模層; ●  硬掩模層係無定形碳層、摻雜無定形碳層、光阻劑層、減反射層、有機平坦化層或它們的組合; ●  硬掩模層係以下項的層:CVD、PECVD、ALD、PEALD或旋塗沈積(SOD)無定形碳或摻雜無定形碳、含矽旋塗掩模、或含碳旋塗掩模; ●  硬掩模層係無定形碳(a-C)層; ●  硬掩模層係摻雜碳層; ●  摻雜無定形碳層係硼摻雜a-C層; ●  摻雜無定形碳層係鎢摻雜a-C層; ●  交替層選擇性地從硬掩模層蝕刻; ●  交替層選擇性地從a-C層蝕刻; ●  交替層選擇性地從摻雜碳層蝕刻; ●  氧化矽和氮化矽的交替層選擇性地從a-C層蝕刻; ●  氧化矽和氮化矽的交替層選擇性地從摻雜碳層蝕刻; ●  氧化矽和多晶矽的交替層選擇性地從a-C層蝕刻; ●  氧化矽和多晶矽的交替層選擇性地從摻雜碳層蝕刻; ●  氧化矽層選擇性地從硬掩模層蝕刻; ●  氧化矽層選擇性地從a-C層蝕刻; ●  氧化矽層選擇性地從摻雜碳層蝕刻; ●  氮化矽層選擇性地從硬掩模層蝕刻; ●  氮化矽層選擇性地從a-C層蝕刻; ●  氮化矽層選擇性地從摻雜碳層蝕刻; ●  多晶矽層選擇性地從硬掩模層蝕刻; ●  多晶矽層選擇性地從a-C層蝕刻; ●  多晶矽層選擇性地從摻雜碳層蝕刻; ●  含矽膜選擇性地從以下項蝕刻:無定形碳層、摻雜無定形碳層、光阻劑層、減反射層或有機平坦化層; ●  氧化矽層選擇性地從以下項蝕刻:無定形碳層、摻雜無定形碳層、光阻劑層、減反射層或有機平坦化層; ●  含碘蝕刻化合物以高蝕刻速率蝕刻氧化矽層和氮化矽層兩者; ●  含碘蝕刻化合物蝕刻氧化矽層和氮化矽層兩者的選擇性在從大約1 : 2至大約2 : 1的範圍內; ●  含碘蝕刻化合物電漿蝕刻交替的SiO和SiN(ONON)層,其對於SiO層對比SiN層具有在大約1 : 2至大約2 : 1之間的選擇性; ●  含碘蝕刻化合物電漿蝕刻交替的SiO和SiN(ONON)層,其對於SiO層對比SiN層具有大約1 : 1的選擇性; ●  含碘蝕刻化合物蝕刻氧化矽層和氮化矽層兩者的選擇性係大約1 : 1; ●  含碘蝕刻化合物以高蝕刻速率蝕刻氧化矽層和多晶矽層兩者; ●  含碘蝕刻化合物蝕刻氧化矽層和多晶矽層兩者的選擇性在從大約1 : 2至大約2 : 1的範圍內; ●  含碘蝕刻化合物電漿蝕刻交替的SiO和p-Si(OPOP)層,其對於SiO層對比p-Si層具有在大約1 : 2至大約2 : 1之間的選擇性; ●  含碘蝕刻化合物電漿蝕刻交替的SiO和p-Si(OPOP)層,其對於SiO層對比p-Si層具有大約1 : 1的選擇性; ●  含碘蝕刻化合物蝕刻氧化矽層和多晶矽層兩者的選擇性係大約1 : 1; ●  使用含碘蝕刻化合物蝕刻氧化矽層的蝕刻速率高於使用以下傳統蝕刻氣體蝕刻氧化矽層的蝕刻速率:cC4 F8 、C4 F6 、CF4 、CH3 F、CF3 H、CH2 F2 、或它們的組合; ●  使用含碘蝕刻化合物蝕刻氧化矽層的蝕刻速率係大約640 nm/min; ●  使用含碘蝕刻化合物蝕刻氧化矽層的蝕刻速率高於使用傳統蝕刻氣體cC4 F8 蝕刻氧化矽層SiO2 的蝕刻速率; ●  使用含碘蝕刻化合物蝕刻氧化矽層的蝕刻速率高於使用傳統蝕刻氣體C4 F6 蝕刻氧化矽層SiO2 的蝕刻速率; ●  使用C4 F9 I蝕刻氧化矽層的蝕刻速率高於使用蝕刻氣體cC4 F8 或C4 F6 蝕刻氧化矽層SiO2 的蝕刻速率,同時加熱用於電漿蝕刻的含碘蝕刻化合物以避免冷凝; ●  使用C4 F9 I蝕刻氧化矽層的蝕刻速率高於使用蝕刻氣體cC4 F8 蝕刻氧化矽層SiO2 的蝕刻速率,同時加熱用於電漿蝕刻的含碘蝕刻化合物以避免冷凝; ●  使用C4 F9 I蝕刻氧化矽層的蝕刻速率高於使用蝕刻氣體C4 F6 蝕刻氧化矽層SiO2 的蝕刻速率,同時加熱用於電漿蝕刻的含碘蝕刻化合物以避免冷凝; ●  加熱用於電漿蝕刻的含碘蝕刻化合物以避免冷凝; ●  在含矽膜中形成的圖案化結構具有在大約1 : 1與大約200 : 1之間的縱橫比; ●  加熱用於電漿蝕刻的含碘蝕刻化合物以維持含碘蝕刻化合物的期望流速; ●  含碘蝕刻化合物從位於待蝕刻的含矽膜的底部的著陸層(landing layer)選擇性地蝕刻含矽膜; ●  著陸層係位於待蝕刻的結構的底部的掩埋著陸層; ●  著陸層係蝕刻終止層; ●  著陸層係矽層; ●  著陸層係金屬層; ●  著陸層係3D NAND結構中的鎢金屬加工線(worldline)和/或另一種金屬,諸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag或它們的組合; ●  著陸層係金屬氧化物層或金屬氮化物層; ●  著陸層係AlO、WO、TiN、或TaN層; ●  金屬層選自W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、或選自AlO、WO、TiN、TaN的蝕刻終止層; ●  著陸層係選自以下項的金屬氧化物層或金屬氮化物層:AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN或它們的組合; ●  將含氧氣體添加至含碘蝕刻化合物; ●  含氧氣體選自由以下項組成之群組:O2 、O3 、CO、CO2 、NO、NO2 、N2 O、SO2 、COS、H2 O和它們的組合; ●  含氧氣體係O2 ; ●  在引入腔室之前將含碘蝕刻化合物和含氧氣體混合以產生混合物; ●  將含碘蝕刻化合物與含氧氣體分開地引入; ●  將含氧氣體連續引入,並將含碘蝕刻化合物引入; ●  含氧氣體占含碘蝕刻化合物和含氧氣體的總體積的大約0.01% v/v至大約99.9% v/v; ●  含氧氣體占含碘蝕刻化合物和含氧氣體的總體積的大約0.01% v/v至大約10% v/v; ●  在引入腔室之前將含碘蝕刻化合物和惰性氣體混合以產生混合物; ●  將含碘蝕刻化合物與惰性氣體分開地引入; ●  將惰性氣體連續引入,並將含碘蝕刻化合物以脈衝引入; ●  惰性氣體占含碘蝕刻化合物的蒸氣和惰性氣體的總體積的大約0.01% v/v至大約99.9% v/v; ●  惰性氣體占含碘蝕刻化合物的蒸氣和惰性氣體的總體積的大約90% v/v至大約99% v/v; ●  襯底係Si晶圓; ●  襯底係晶體矽層; ●  形成圖案化結構; ●  圖案化結構係3D NAND孔; ●  圖案化結構係接觸孔洞; ●  圖案化結構係3D NAND接觸孔洞; ●  圖案化結構係DRAM接點; ●  圖案化結構係通道孔洞; ●  圖案化結構係3D NAND通道孔洞; ●  圖案化結構係3D NAND狹縫接點; ●  孔係階梯式接點; ●  孔係自對準接點; ●  孔係自對準通孔; ●  孔係超通孔; ●  進一步包括將附加蝕刻氣體引入含碘蝕刻化合物; ●  附加蝕刻氣體選自由以下項組成之群組:cC4 F8 、C4 F8 、cC5 F8 、C5 F8 、C4 F6 、CF4 、CH3 F、CF3 H、CH2 F2 、C3 HF7 、C3 F6 、C3 H2 F6 、C3 H2 F4 、C3 H3 F5 、C4 HF7 、C5 HF9 、C3 F6 、C3 F8 、CF3 I、C2 F3 I、C2 F5 I、C3 F7 I、1-碘七氟丙烷(1-C3 F7 I)、2-碘七氟丙烷(2-C3 F7 I)、C3 HF7 、COS、FNO、F-C≡N、CS2 、SO2 、SF6 、反式-1,1,1,4,4,4-六氟-2-丁烯(反式 -C4 H2 F6 )、順式-1,1,1,4,4,4-六氟-2-丁烯(順式 -C4 H2 F6 )、六氟異丁烯(C4 H2 F6 )、反式-1,1,2,2,3,4-六氟環丁烷(反式 -C4 H2 F6 )、1,1,2,2,3-五氟環丁烷(C4 H3 F5 )、1,1,2,2-四氟環丁烷(C4 H4 F4 )、以及順式-1,1,2,2,3,4-六氟環丁烷(順式 -C4 H2 F6 )或它們的組合; ●  將含碘蝕刻化合物與附加蝕刻氣體分開地引入; ●  將大約0.01% v/v至大約99.99% v/v的附加蝕刻氣體添加至含碘蝕刻化合物; ●  藉由施加RF功率活化該電漿; ●  藉由在從大約25 W至大約100,000 W的範圍內的RF功率將電漿活化; ●  蝕刻壓力在從大約1毫托至大約10托的範圍內; ●  蝕刻壓力係30毫托; ●  以在從大約0.1 sccm至大約1 slm範圍內的流速將含碘蝕刻化合物引入; ●  將襯底維持在從大約-196°C至大約500°C的範圍內的溫度下; ●  將襯底維持在從大約-120°C至大約300°C的範圍內的溫度下; ●  將襯底維持在從大約-100°C至大約50°C的範圍內的溫度下; ●  將襯底維持在從大約-10°C至大約40°C的範圍內的溫度下;以及 ●  藉由四極質譜儀、光學發射光譜儀、FTIR、或其他自由基/離子測量工具在電漿下來測量含碘蝕刻化合物。
還揭露了在襯底中形成圖案化結構的同時補強、增強和最小化圖案化掩模層的損害之方法,該方法包括: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在含矽層上的圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4;以及 使用藉由活化電漿所形成的經活化的含碘蝕刻化合物將含矽膜從圖案化掩模層蝕刻以形成圖案化結構; 其中該經活化的含碘蝕刻化合物產生碘離子,該碘離子被注入圖案化硬掩模層中,從而增強該圖案化掩模層。所揭露之方法可以包括以下方面中的一項或多項: ●  含碘蝕刻化合物係含氟烴化合物; ●  含碘蝕刻化合物係氟碳化合物; ●  含碘蝕刻化合物係有機氟化合物; ●  含碘蝕刻化合物係烴化合物; ●  經活化的含碘蝕刻化合物產生增強圖案化掩模層的碘離子; ●  含碘蝕刻化合物係C4 F9 I、C5 F11 I、C6 F13 I、C7 F15 I、C8 F17 I、C9 F19 I、C10 F21 I或它們的異構物;以及 ●  含碘蝕刻化合物係C4 F9 I或其異構物。
還揭露了在襯底中形成圖案化結構的製程中,藉由用碘摻雜圖案掩模層和/或藉由用碘改性圖案掩模層的表面來增加圖案掩模層的抗蝕刻性,該方法包括: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在含矽層上的圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4; 將電漿活化以產生能夠將碘摻雜進該圖案化掩模層中的經活化的含碘蝕刻化合物,從而用碘改性該圖案化掩模層以增加該圖案化掩模層的抗蝕刻性;以及 將碘離子從該經活化的含碘蝕刻化合物注入該圖案化掩模層中同時從該圖案化掩模層蝕刻含矽膜,以形成該圖案化結構。所揭露之方法可以包括以下方面中的一項或多項: ●  含碘蝕刻化合物係含氟烴化合物; ●  含碘蝕刻化合物係氟碳化合物; ●  含碘蝕刻化合物係烴化合物; ●  含碘蝕刻化合物係有機氟化合物; ●  含碘蝕刻化合物係C4 F9 I、C5 F11 I、C6 F13 I、C7 F15 I、C8 F17 I、C9 F19 I和C10 F21 I或它們的異構物; ●  含碘蝕刻化合物係C4 F9 I或其異構物; ●  將碘離子從經活化的含碘蝕刻化合物注入圖案化掩模層的表面和/或本體,同時從襯底蝕刻含矽膜,以形成圖案化結構; ●  將碘離子從經活化的含碘蝕刻化合物注入圖案化掩模層的表面,同時從襯底蝕刻含矽膜,以形成圖案化結構;以及 ●  將碘離子從經活化的含碘蝕刻化合物注入圖案化掩模層的本體,同時從襯底蝕刻含矽膜,以形成圖案化結構。注解和命名
以下詳細說明和申請專利範圍利用了本領域中通常眾所周知的許多縮寫、符號和術語。雖然定義典型地與每個首字母縮略詞的第一個例子一起提供,但是為了方便, 1 提供了所使用的縮寫、符號和術語連同它們各自的定義的列表。 [ 1 ]
LCD-TFT 液晶顯示器-薄膜電晶體
DRAM 動態隨機存取記憶體
FINFET 鰭形場效應電晶體
塊狀CMOS 塊狀互補金屬氧化物半導體
FD-SOI 完全耗盡型絕緣體上矽
FEOL 前段製程
BEOL 後段製程
TSV 矽通孔
OLED 有機發光二極體
RIE 反應性離子蝕刻
CCP 電容耦合電漿
ICP 電感耦合電漿
sccm 標準立方釐米/分鐘
slm 標準升/分鐘
ONON 氧化矽和氮化矽交替層
OPOP 氧化矽和多晶矽交替層
TCAT 兆位元元單元陣列電晶體
P-BICS 管形位成本可伸縮的
QMS 四極質譜儀
ARC或BARC 減反射塗層或底部減反射塗層
APF或a-C 無定形碳。應用材料商標(Trademark of Applied Materials)
CAS 化學文摘服務
PCTFE 聚氯三氟乙烯
PVDF 聚偏二氟乙烯
PTFE 聚四氟乙烯
SEM 掃描電子顯微鏡
NAND 非AND或負-NAND或否定AND
TEOS 原矽酸四乙基酯
LDTEOS 低沈積速率TEOS
BPSG 硼磷矽酸鹽玻璃
p-Si 多晶矽
XPS X射線光電子能譜
SiN 氮化矽
SiO 氧化矽
PECVD 電漿增強的化學氣相沈積
LPCVD 低壓化學氣相沈積
如本文所使用,不定冠詞「一個/種(a或an)」意指一個/種或多個/種。
如本文所使用,在正文或申請專利範圍中的「約(about)」或「大約(around或approximately)」意指所述值的 ± 10%。
如本文所使用,在正文或申請專利範圍中的「室溫」意指從大約20°C至大約25°C。
術語「環境溫度」係指大約20°C至大約25°C的周圍溫度。
術語「襯底」係指在其上進行製程的一種或多種材料。襯底可以是指具有在其上進行製程的一種或多種材料的晶圓。襯底可以是在半導體、光伏、平板或LCD-TFT器件製造中使用的任何合適的晶圓。襯底還可以具有從先前的製造步驟已經沈積在其上的一個或多個不同材料層。例如,晶圓可以包括矽層(例如,結晶的、無定形的、多孔的等)、含矽層(例如,SiO2 、SiN、SiON、SiC、SiCN、SiOCN、SiCOH等)、含金屬層(例如,銅、鈷、釕、鎢、錳、鉑、鈀、鎳、釕、金等)或它們的組合。此外,襯底可以是平面的或圖案化的。襯底可以是有機圖案化的光阻劑膜。襯底可以包括用作MEMS、3D NAND、MIM、DRAM或FeRam器件應用中的介電材料(例如,基於ZrO2 的材料、基於HfO2 的材料、基於TiO2 的材料、基於稀土氧化物的材料、基於三元氧化物的材料等)的氧化物層或用作電極的基於氮化物的膜(例如,TaN、TiN、NbN)。熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指放置或鋪展在表面上的一定厚度的某種材料並且該表面可為溝槽或線。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為襯底。
術語「晶圓」或「圖案化晶圓」係指在襯底上具有含矽膜的堆疊並且在所形成的含矽膜的堆疊上具有圖案化硬掩模層以用於圖案蝕刻的晶圓。
術語「圖案蝕刻」或「圖案化蝕刻」係指蝕刻非平面結構,諸如在含矽膜的堆疊上的圖案化掩模層。
如本文所用,術語「蝕刻(etch)」或「刻蝕(etching)」意指使用電漿經由離子轟擊、遠端電漿、或蝕刻氣體與襯底之間的化學氣相反應來移除材料,並且是指各向同性蝕刻製程和/或各向異性蝕刻製程。各向同性蝕刻製程涉及蝕刻化合物與襯底之間的化學反應,從而導致襯底上的部分材料被除去。這種類型的蝕刻製程包括化學乾式蝕刻、氣相化學蝕刻、熱乾式蝕刻等。各向同性蝕刻製程在襯底中產生橫向或水平蝕刻輪廓。各向同性蝕刻製程在襯底中預先形成的孔的側壁上產生凹槽或水平凹槽。各向異性蝕刻製程涉及電漿蝕刻製程(即,乾式蝕刻製程),其中離子轟擊使垂直方向上的化學反應加速,使得沿著掩蔽特徵的邊緣以與襯底成直角形成垂直側壁(Manos和Flamm,Thermal etching an Introduction [熱蝕刻導論], Academic Press, Inc. [學術出版社公司] 1989 第12-13頁)。電漿蝕刻製程在襯底中產生垂直蝕刻輪廓。電漿蝕刻製程在襯底中產生垂直通孔、孔、溝槽、通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞、狹縫蝕刻、自對準接點、自對準通孔、超通孔等。
術語「掩模」係指抵抗蝕刻的層。該掩模層可位於待蝕刻的層的上方。該掩模層還是指硬掩模層。
術語「蝕刻終止」係指在待蝕刻的層的下方保護下面的層的層。
術語「器件通道」係指係實際器件的一部分的層,並且對其的任何損害將影響器件性能。
術語「縱橫比」係指溝槽(或通孔)的高度與溝槽的寬度(或通孔的直徑)的比。
術語「選擇性」意指一種材料的蝕刻速率與另一種材料的蝕刻速率的比率。術語「選擇性的蝕刻(selective etch)」或「選擇性地蝕刻(selectively etch)」意指蝕刻一種材料多於另一種材料,或換言之,在兩種材料之間具有大於或小於1 : 1的蝕刻選擇性。
當被用於描述R基團的上下文中時,術語「獨立地」應理解為表示對象R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且相對於同樣的R基團的任何附加種類獨立地選擇。例如,在式MR1 x (NR2 R3 )(4-x) 中,其中M係原子,x係2或3,兩個或三個R1 基團可以但是不必是彼此相同的或與R2 或與R3 相同的。此外,應當理解,除非另外特別規定,否則R基團的值在用於不同式中時彼此獨立。
在本文中需注意,術語「膜」和「層」可以互換使用。應理解的是,膜可以對應於層或者與層相關,並且層可以是指膜。此外,熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指放置或鋪展在表面上的一定厚度的某種材料並且該表面可在從與整個晶圓一樣大至與溝槽或線一樣小的範圍內。
在本文中需注意,術語「蝕刻化合物」和「蝕刻氣體」可以互換使用。應理解的是,蝕刻化合物可以對應於蝕刻氣體或者與蝕刻氣體相關,並且蝕刻氣體可以是指蝕刻化合物。
術語「通孔(via)」、「孔(aperture)」、「溝槽(trench)」、以及「孔洞(hole)」有時可互換使用,並且通常意指層間絕緣體中的開口。
如本文所使用,縮寫「NAND」係指「與非(「Negated AND」或「Not AND」)」門;縮寫「2D」係指平面襯底上的2維閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中閘極結構在垂直方向上堆疊。
本文中使用來自元素週期表的元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,F係指氟等)。
提供了由化學文摘服務指定的唯一的CAS登記號(即「CAS」)以幫助更好地識別所揭露的分子。
請注意,含矽膜諸如氮化矽和氧化矽貫穿本說明書和申請專利範圍在不提及其適當化學計量學的情況下列出。含矽膜可以包括純矽(Si)層,諸如晶體Si、多晶矽(p-Si或多晶Si)、或無定形矽;氮化矽(Sik Nl )層;或氧化矽(Sin Om )層;或它們的混合物,其中k、I、m、以及n範圍從0.1至6(包括端點)。較佳的是,氮化矽為Sik Nl ,其中k和I各自範圍從0.5至1.5。更較佳的是,氮化矽為Si3 N4 。較佳的是,氧化矽為Sin Om ,其中n範圍從0.5至1.5並且m範圍從1.5至3.5。更較佳的是,氧化矽為SiO2 。本文中,以下說明書中的SiN和SiO分別用於表示含有Sik Nl 和Sin Om 的層。該含矽膜還可能是基於氧化矽的介電材料,諸如基於有機物或基於氧化矽的低-k 介電材料,諸如應用材料公司(Applied Materials, Inc.)的Black Diamond II或III材料(具有式SiOCH)。含矽膜還可以包括Sia Ob Cc Nd He ,其中a、b、c、d、e從0.1至6範圍內。含矽膜還可以包括摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge。
在本文中範圍可以表述為從約一個具體值和/或到約另一個具體值。當表述此種範圍時,應理解的是另一個實施方式係從一個具體值和/或到另一個具體值、連同在所述範圍內的所有組合。
在本文中對「一個實施方式」或「實施方式」的提及意指關於該實施方式描述的特定特徵、結構或特性可以包括在本發明之至少一個實施方式中。說明書中不同地方出現的短語「在一個實施方式中」不一定全部係指同一個實施方式,單獨的或替代性的實施方式也不一定與其他實施方式互斥。上述情況也適用於術語「實施」。
揭露了用於在含矽膜中電漿蝕刻半導體結構之方法,該半導體結構諸如通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞高縱橫比結構、3D NAND、狹縫溝槽、自對準接點、自對準通孔、超通孔等。所揭露之方法包括以下步驟:i) 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有在襯底上的含矽膜,其中含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4;以及ii) 將惰性氣體引入反應腔室中;以及iii) 將電漿活化以產生能夠從襯底蝕刻含矽膜的經活化的含碘蝕刻化合物。在此,惰性氣體可以是Ar、Kr、Xe、N2 、He或Ne。
如以下實例中所示出的,所揭露的含碘蝕刻化合物中的碘離子在低能量(eV)(即,< 20 eV)下從化合物中解離。其結果係,諸位申請人認為,所揭露的蝕刻化合物可用於乾式電漿蝕刻製程,該等製程對下面的襯底產生較小的損害,因為它們可以在較低的電漿能量下進行。例如,所揭露的含碘蝕刻化合物可特別適用於其中特別關注損害的低k蝕刻製程,因為較低的電漿能量可對蝕刻位點周圍的多孔低k材料產生較小的損害。對低k材料的低損害可能是由於由含碘蝕刻化合物所給出的UV光子和F自由基的產生減少。所揭露的含碘蝕刻化合物還可防止臨界尺寸的損失並降低低k表面粗糙度。另外,具有兩個碘原子的分子可以增強該等益處,儘管由於碘原子的大小而失去揮發性。
碘(10.5 eV)具有比氟(17.4 eV)和惰性氣體(Ar(15.8 eV)、Xe(12.1 eV)和Kr(14 eV))更低的電離閾值。因此,碘可以比氟更容易被電漿電離,並且含碘蝕刻化合物可以增加電漿密度。作為重元素的碘(具有127 amu的質量)也可以表現得類似於電漿中的惰性氣體(諸如Kr,88 amu,Xe,131 amu)並加速朝向晶圓。在高縱橫比結構的蝕刻期間,離子的能量隨著它們穿透越深而越弱,因此許多離子不能到達溝槽的底部。然而,重的碘離子可以藉由在電漿蝕刻製程期間所施加的電壓加速朝向晶圓並深深地穿透,從而允許蝕刻具有高縱橫比的深圖案結構。氟的電離閾值用於將其保持為中性物種。其結果係,氟典型地藉由化學反應參與蝕刻製程。碘展現出比氟更小的化學反應性並且被電離並在電漿蝕刻製程期間轟擊表面。C-I的鍵能也低於C-F的鍵能,從而導致與C-F鍵相比,C-I鍵更容易在電漿中斷裂。因此,可以容易地將碘離子摻雜進a-C掩模層中。由於碘係重元素,因此與更輕的元素相比,碘更容易被注入和深深地穿透在掩模層中。另外,由於C-I鍵的弱性和碘電離閾值低的事實,含碘蝕刻化合物具有低到可忽略的大氣壽命,使得它們成為降低由全氟化碳化合物所引起的全球變暖潛能的良好候選物。
碘離子在低eV(即,< 20 eV)下從所揭露的蝕刻化合物解離,參見以下的對比實例。然而,碘離子注入掩模特征(feature),諸如表面和/或本體(body/bulk)係可能的。該注入可以幫助增強、最小化損害、增加掩模特征的抗蝕刻性並且幫助其在蝕刻製程期間維持其形狀。如以下實例中所示出的,在使用所揭露的含碘蝕刻化合物之後,圖案掩模的組成被修改。諸位申請人認為,碘離子藉由增加與有機掩模層(諸如無定形碳掩模)的交聯而改性表面並摻入圖案掩模層的本體中。由於碘離子的摻雜以及碳、碘、氫和氟原子之間、並且較佳的是在碳與碘之間的交聯,圖案掩模層的密度增加,使得圖案掩模層更堅固。在以下的實例中,藉由示出當藉由含碘蝕刻化合物蝕刻時圖案掩模層的蝕刻速率低於當藉由傳統蝕刻氣體(諸如cC4 F8 和C4 F6 )蝕刻時的蝕刻速率,已證明歸因於碘離子的增強效果和抗蝕刻性改進。
所揭露的含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,1 ≤ z ≤ 4。當x = 0時,所揭露的含碘蝕刻化合物可以不含有任何氫,其具有式Cn Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ y ≤ 21,1 ≤ z ≤ 4和y + z ≤ 2n + 2。當n = 4時,所揭露的含碘蝕刻化合物具有式C4 Fy Iz ,其中1 ≤ z ≤ 4,y ≤ 10 - z。不含H的示例性C4 含碘蝕刻化合物包括C4 F9 I、C5 F11 I、C6 F13 I、C7 F15 I、C8 F17 I、C9 F19 I、C10 F21 I、C4 F7 I、C5 F9 I、C6 F11 I、C7 F13 I、C8 F15 I、C9 F17 I、C10 F19 I、C4 F5 I、C5 F7 I、C6 F9 I、C7 F11 I、C8 F13 I、C9 F17 I、C10 F17 I、C4 F8 I2 、C5 F10 I2 、C6 F12 I2 、C7 F14 I2 、C8 F16 I2 、C9 F18 I2 、C10 F20 I2 、C4 F6 I2 、C5 F8 I2 、C6 F10 I2 、C7 F12 I2 、C8 F14 I2 、C9 F16 I2 、C10 F18 I2 、C4 F4 I2 、C5 F6 I2 、C6 F8 I2 、C7 F10 I2 、C8 F12 I2 、C9 F14 I2 、C10 F16 I2 、C4 F7 I3 、C5 F9 I3 、C6 F11 I3 、C7 F13 I3 、C8 F15 I3 、C9 F17 I3 、C10 F19 I3 、C4 F4 I3 、C5 F6 I3 、C6 F8 I3 、C7 F10 I3 、C8 F12 I3 、C9 F14 I3 、C10 F16 I3 、C4 F3 I3 、C5 F5 I3 、C6 F7 I3 、C7 F9 I3 、C8 F11 I3 、C9 F13 I3 、C10 F15 I3 、C4 F6 I4 、C5 F8 I4 、C6 F10 I4 、C7 F12 I4 、C8 F14 I4 、C9 F16 I4 、C10 F18 I4 、C4 F4 I4 、C5 F6 I4 、C6 F8 I4 、C7 F10 I4 、C8 F12 I4 、C9 F14 I4 、C10 F16 I4 、C4 F2 I4 、C5 F4 I4 、C6 F6 I4 、C7 F8 I4 、C8 F10 I4 、C9 F12 I4 、C10 F14 I4 、以及它們的異構物。
示例性的所揭露的不含H的含碘蝕刻化合物具有通式:Cn F2n+1 I,其中4 ≤ n ≤ 10,列於 2 中。該等分子係可商購的或可以藉由本領域中已知之方法合成。它們的結構式、CAS號和沸點包括在 2 中。所揭露的不含H的含碘蝕刻化合物, 其具有通式:Cn F2n+1 I,其中4 ≤ n ≤ 10,還可以包括它們的異構物。 [ 2 ]
蝕刻化合物 配方 結構 CAS號 沸點(°C)
全氟丁基碘 1-C4 F9 I
Figure 02_image001
423-39-2 67.0°C
全氟戊基碘 1-C5 F11 I
Figure 02_image002
638-79-9 94.4°C
全氟己基碘 1-C6 F13 I
Figure 02_image003
355-43-1 115°C-117°C,在1 mm Hg下
全氟庚基碘 1-C7 F15 I
Figure 02_image004
335-58-0 137°C-138°C
全氟辛基碘 1-C8 F17 I
Figure 02_image005
507-63-1 160°C-161°C
全氟壬基碘 1-C9 F19 I
Figure 02_image006
558-97-4 181°C
全氟癸基碘 1-C10 F21 I
Figure 02_image007
423-62-1 112°C-115°C,在50 mm Hg下
所揭露的含碘蝕刻化合物適用於蝕刻含矽膜,該含矽膜包括以下項的層:氧化矽(SiO)、氮化矽(SiN)、純矽(Si)(諸如晶體Si、多晶矽(p-Si或多晶Si)、或無定形矽)、低-k SiCOH、SiOCN、SiC、SiON、Sia Ob Hc Cd Ne (其中a > 0;b、c、d和e ≥ 0)、或它們的組合。含矽膜還可以包括交替的SiO和SiN(ONON)層或SiO和p-Si(OPOP)層。含矽膜還可以包括摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge。
當所揭露的含碘蝕刻化合物包括H時,可以提高SiN的蝕刻速率,同時維持對掩模層的高選擇性,但不限於此。當x = 1時,所揭露的具有一個H的含碘蝕刻化合物具有式Cn HFy Iz ,其中4 ≤ n ≤ 10,0 ≤ y ≤ 20,1 ≤ z ≤ 4,並且y + z ≤ 2n + 1。示例性化合物包括C4 HF8 I、C5 HF10 I、C6 HF12 I、C7 HF14 I、C8 HF16 I、C9 HF18 I、C10 HF20 I、C4 HF6 I、C5 HF8 I、C6 HF10 I、C7 HF12 I、C8 HF14 I、C9 HF16 I、C10 HF18 I、C4 HF4 I、C5 HF6 I、C6 HF8 I、C7 HF10 I、C8 HF12 I、C9 HF14 I、C10 HF16 I、C4 HF7 I2 、C5 HF9 I2 、C6 HF11 I2 、C7 HF13 I2 、C8 HF15 I2 、C9 HF17 I2 、C10 HF19 I2 、C4 HF5 I2 、C5 HF7 I2 、C6 HF9 I2 、C7 HF11 I2 、C8 HF13 I2 、C9 HF15 I2 、C10 HF17 I2 、C4 HF3 I2 、C5 HF5 I2 、C6 HF7 I2 、C7 HF9 I2 、C8 HF11 I2 、C9 HF13 I2 、C10 HF15 I2 、C4 HF6 I3 、C5 HF8 I3 、C6 HF10 I3 、C7 HF12 I3 、C8 HF14 I3 、C9 HF16 I3 、C10 HF18 I3 、C4 HF4 I3 、C5 HF6 I3 、C6 HF8 I3 、C7 HF10 I3 、C8 HF12 I3 、C9 HF14 I3 、C10 HF16 I3 、C4 HF5 I4 、C5 HF7 I4 、C6 HF9 I4 、C7 HF11 I4 、C8 HF13 I4 、C9 HF15 I4 、C10 HF17 I4 、C4 HF3 I4 、C5 HF5 I4 、C6 HF7 I4 、C7 HF9 I4 、C8 HF11 I4 、C9 HF13 I4 、C10 HF15 I4 、C4 HFI4 、C5 HF3 I4 、C6 HF5 I4 、C7 HF7 I4 、C8 HF9 I4 、C9 HF11 I4 、C10 HF13 I4 和它們的異構物。
當x = 2時,所揭露的具有兩個氫的含碘蝕刻化合物具有式Cn H2 Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ y ≤ 19,1 ≤ z ≤ 4,並且y + z ≤ 2n。示例性化合物包括C4 H2 F7 I、C5 H2 F9 I、C6 H2 F11 I、C7 H2 F13 I、C8 H2 F15 I、C9 H2 F17 I、C10 H2 F19 I、C4 H2 F5 I、C5 H2 F7 I、C6 H2 F9 I、C7 H2 F11 I、C8 H2 F13 I、C9 H2 F15 I、C10 H2 F17 I、C4 H2 F6 I2 、C5 H2 F8 I2 、C6 H2 F10 I2 、C7 H2 F12 I2 、C8 H2 F14 I2 、C9 H2 F16 I2 、C10 H2 F18 I2 、C4 H2 F4 I2 、C5 H2 F6 I2 、C6 H2 F8 I2 、C7 H2 F10 I2 、C8 H2 F12 I2 、C9 H2 F14 I2 、C10 H2 F16 I2 、C4 H2 F5 I3 、C5 H2 F7 I3 、C6 H2 F9 I3 、C7 H2 F11 I3 、C8 H2 F13 I3 、C9 H2 F15 I3 、C10 H2 F17 I3 、C4 H2 F3 I3 、C5 H2 F5 I3 、C6 H2 F7 I3 、C7 H2 F9 I3 、C8 H2 F11 I3 、C9 H2 F13 I3 、C10 H2 F15 I3 、C4 H2 F4 I4 、C5 H2 F6 I4 、C6 H2 F8 I4 、C7 H2 F10 I4 、C8 H2 F12 I4 、C9 H2 F14 I4 、C10 H2 F16 I4 、C4 H2 F2 I4 、C5 H2 F4 I4 、C6 H2 F6 I4 、C7 H2 F8 I4 、C8 H2 F10 I4 、C9 H2 F12 I4 、C10 H2 F14 I4 和它們的異構物。
當x = 3時,所揭露的具有三個氫的含碘蝕刻化合物具有式Cn H3 Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ y ≤ 18,1 ≤ z ≤ 4,並且y + z ≤ 2n-1。示例性化合物包括C4 H3 F6 I、C5 H3 F8 I、C6 H3 F10 I、C7 H3 F12 I、C8 H3 F14 I、C9 H3 F16 I、C10 H3 F18 I、C4 H3 F4 I、C5 H3 F6 I、C6 H3 F8 I、C7 H3 F10 I、C8 H3 F12 I、C9 H3 F14 I、C10 H3 F16 I、C4 H3 F5 I2 、C5 H3 F7 I2 、C6 H3 F9 I2 、C7 H3 F11 I2 、C8 H3 F13 I2 、C9 H3 F15 I2 、C10 H3 F17 I2 、C4 H3 F3 I2 、C5 H3 F5 I2 、C6 H3 F7 I2 、C7 H3 F9 I2 、C8 H3 F11 I2 、C9 H3 F13 I2 、C10 H3 F15 I2 、C4 H3 F4 I3 、C5 H3 F6 I3 、C6 H3 F8 I3 、C7 H3 F10 I3 、C8 H3 F12 I3 、C9 H3 F14 I3 、C10 H3 F16 I3 、C4 H3 F2 I3 、C5 H3 F4 I3 、C6 H3 F6 I3 、C7 H3 F8 I3 、C8 H3 F10 I3 、C9 H3 F12 I3 、C10 H3 F14 I3 、C4 H3 F3 I4 、C5 H3 F5 I4 、C6 H3 F7 I4 、C7 H3 F9 I4 、C8 H3 F11 I4 、C9 H3 F13 I4 、C10 H3 F15 I4 、C4 H3 F1 I4 、C5 H3 F3 I4 、C6 H3 F5 I4 、C7 H3 F7 I4 、C8 H3 F9 I4 、C9 H3 F11 I4 、C10 H3 F13 I4 和它們的異構物。
當x = 4時,所揭露的具有四個氫的含碘蝕刻化合物具有式Cn H4 Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ y ≤ 17,1 ≤ z ≤ 4,並且y + z ≤ 2n-2。示例性化合物包括C4 H4 F5 I、C5 H4 F7 I、C6 H4 F9 I、C7 H4 F11 I、C8 H4 F13 I、C9 H4 F15 I、C10 H4 F17 I、C4 H4 F3 I、C5 H4 F5 I、C6 H4 F7 I、C7 H4 F9 I、C8 H4 F11 I、C9 H4 F13 I、C10 H4 F15 I、C4 H4 F4 I2 、C5 H4 F6 I2 、C6 H4 F8 I2 、C7 H4 F10 I2 、C8 H4 F12 I2 、C9 H4 F14 I2 、C10 H4 F16 I2 、C4 H4 F2 I2 、C5 H4 F4 I2 、C6 H4 F6 I2 、C7 H4 F8 I2 、C8 H4 F10 I2 、C9 H4 F12 I2 、C10 H4 F14 I2 、C4 H4 F3 I3 、C5 H4 F5 I3 、C6 H4 F7 I3 、C7 H4 F9 I3 、C8 H4 F11 I3 、C9 H4 F13 I3 、C10 H4 F15 I3 、C4 H4 FI3 、C5 H4 F3 I3 、C6 H4 F5 I3 、C7 H4 F7 I3 、C8 H4 F9 I3 、C9 H4 F11 I3 、C10 H4 F13 I3 、C4 H4 F2 I4 、C5 H4 F4 I4 、C6 H4 F6 I4 、C7 H4 F8 I4 、C8 H4 F10 I4 、C9 H4 F12 I4 、C10 H4 F14 I4 、C4 H4 I4 、C5 H4 F2 I4 、C6 H4 F4 I4 、C7 H4 F6 I4 、C8 H4 F8 I4 、C9 H4 F10 I4 、C10 H4 F12 I4 和它們的異構物。
諸位申請人認為,具有4個或多於4個碳原子的分子可以提供比少於4個碳的分子更好的蝕刻速率和側壁保護,例如,在蝕刻製程期間,直的輪廓,沒有彎曲,沒有錐形,沒有扭曲,沒有不完全蝕刻,從被蝕刻的結構的頂部至底部的臨界尺寸沒有變化。諸位申請人認為,具有4個或多於4個碳原子的分子還可以提供比少於4個碳的分子更直的輪廓,沒有彎曲、錐形、扭曲和不完全蝕刻、或從被蝕刻的結構的頂部至底部的臨界尺寸的變化。對於側壁保護,碳 ≥ 4的分子係較佳的,因為諸位申請人認為它們將產生更厚的鈍化層。
所揭露的含碘蝕刻化合物可以在高縱橫比結構(HAR)(諸如具有在從1 : 1至200 : 1範圍內的縱橫比的那些,諸如DRAM和3D NAND結構)中提供對於掩模層、光阻劑、蝕刻終止層和器件通道材料的高選擇性並且沒有輪廓變形。可替代地,所揭露的含碘蝕刻化合物還可以在接觸蝕刻應用中提供對於掩模層或含矽膜(諸如具有在從1 : 1至200 : 1範圍內的縱橫比的那些)的高選擇性。
所揭露的含碘蝕刻化合物可提供對於寬的蝕刻製程條件的無限選擇性。在此,選擇性係指兩個不同層的蝕刻速率比。例如,SiO層對比a-C層的選擇性係SiO的蝕刻速率除以a-C層的蝕刻速率。所揭露的含碘蝕刻化合物可以提供在含矽膜與掩模材料之間的改進的選擇性、對於通道區域的更少的損害、改進的臨界尺寸均一性和減小的輪廓變形(諸如彎曲、扭曲、錐形、切口、底切),維持從蝕刻結構的頂部至底部的相同臨界尺寸和圖案高縱橫比結構中的電弧。所揭露的含碘蝕刻化合物還可以蝕刻穿過p-Si、SiO、和/或SiN的交替層,導致豎直蝕刻輪廓。(即,表明在交替層之間的在從2 : 1至1 : 2的範圍內的選擇性)。所揭露的含碘蝕刻化合物電漿蝕刻交替的SiO和SiN(ONON)層,其對於SiO層對比SiN層具有在大約1 : 2至大約2 : 1之間的選擇性。較佳的是,所揭露的含碘蝕刻化合物電漿蝕刻交替的SiO和SiN(ONON)層,其對於SiO層對比SiN層具有大約1 : 1的選擇性。
所揭露的含碘蝕刻化合物以大於95% v/v純度、較佳的是以大於99.99% v/v純度、並且更較佳的是以大於99.999% v/v純度提供。所揭露的含碘蝕刻化合物含有按體積計小於5%的痕量氣體雜質,其中按體積計小於150 ppm的雜質氣體,諸如N2 和/或H2 O和/或CO2 ,包含在所述痕量氣態雜質中。較佳的是,電漿蝕刻氣體中的水含量係按重量計小於20 ppm。可藉由蒸餾和/或使氣體或液體通過適合的吸附劑(諸如4Å分子篩)產生經純化的產物。
所揭露的含碘蝕刻化合物含有小於10% v/v、較佳的是小於1% v/v、更較佳的是小於0.1% v/v、並且甚至更較佳的是小於0.01% v/v的任何其異構物,其可以藉由蒸餾氣體或液體以移除異構物來純化並且可以提供更好的製程可重複性。
可替代地,所揭露的含碘蝕刻化合物可含有在0.01% v/v與99.99% v/v之間的其異構物,特別地當該異構物混合物提供改進的製程參數或如果目標異構物的分離過於困難或昂貴時。例如,所揭露的含碘蝕刻化合物可以包含在大約50% v/v與大約75% v/v之間的1-碘九氟丁烷和在大約25% v/v與大約50% v/v之間的2-碘九氟丁烷以及在大約25% v/v與大約50% v/v之間的碘九氟三級丁烷。該異構物的混合物還可減少對於兩個或更多個通向該反應腔室的氣體管線的需要。
一些所揭露的含碘蝕刻化合物在室溫和大氣壓力下是氣態的。對於非氣態(即,液體或固體)化合物,可以藉由經由常規的汽化步驟,諸如直接汽化或藉由用惰性氣體(諸如N2 、Ar、He)鼓泡使該等化合物汽化來產生它們的氣體形式。該等非氣態化合物可在引入反應器中之前以液態進料到汽化器中,在該汽化器中使其汽化。
所揭露的含碘蝕刻化合物適用於在含矽膜中電漿蝕刻半導體結構(諸如,通道孔洞、閘極溝槽、階梯式接點、狹縫、電容器孔洞、接觸孔洞、自對準接點、自對準通孔、超通孔等)。所揭露的含碘蝕刻化合物不僅與當前可獲得的掩模材料相容,而且與未來幾代掩模材料相容,因為所揭露的含碘蝕刻化合物對掩模連同高縱橫比結構的良好輪廓引起極少損害或沒有損害。換言之,所揭露的含碘蝕刻化合物可產生具有最小至沒有彎曲、圖案坍塌或粗糙度的垂直的蝕刻圖案。為了實現該等特性,所揭露的含碘蝕刻化合物可以在蝕刻期間沈積抗蝕刻聚合物層,以幫助減少在蝕刻製程期間的氧和氟自由基的直接影響。所揭露的含碘蝕刻化合物還可以減少在蝕刻期間對p-Si或結晶Si通道結構的損害。
所揭露的含碘蝕刻化合物從掩埋著陸層或材料選擇性地蝕刻含矽層,該掩埋著陸層或材料在大多數應用中是位於待蝕刻的結構的底部的金屬層。所揭露的含碘蝕刻化合物不蝕刻金屬著陸層。掩埋著陸層可以是蝕刻終止層或擴散阻擋層。金屬著陸層的材料可以是在3D NAND結構中的鎢金屬加工線和/或另一種金屬(諸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它們的組合)和/或蝕刻終止層諸如金屬或金屬氧化物或氮化物層(諸如AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它們的組合等)。所揭露的含碘蝕刻化合物對在以下項的底部的材料引起極少損害或沒有損害:接觸空洞、階梯式和狹縫(諸如在3D NAND結構中的鎢金屬加工線和/或另一種金屬,諸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它們的組合等)和/或蝕刻終止層諸如金屬或金屬氧化物或氮化物層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它們的組合等)。熟悉該項技術者將認識到,阻擋層也可以由先前所提及的金屬和金屬氧化物和氮化物的組合組成,此阻擋層係Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaN等。阻擋也可以包含矽化物(諸如TiSiN、TaSiN、TiSi2 、MnSiO等)、磷化物(諸如CuWP、NiMoP、NiP等)、碳化物(諸如TaC、TaCN、WCN等)、硼化物(諸如NiMoB、NiB等)或它們的組合。較佳的是,所揭露的含碘蝕刻化合物在用於遞送到該反應器/腔室中的蝕刻製程期間係適當地揮發性的且穩定的。
材料相容性測試對於確定是否任何所揭露的含碘蝕刻化合物將與腔室材料反應並且降低具有短期或長期用途的腔室的性能係重要的。在腔室、閥等的部件中涉及的關鍵材料包括不銹鋼、鋁、鎳、PCTFE、PVDF、PTFE、PFA、PP、kalrez、viton和其他金屬和聚合物。有時,該等材料暴露於高溫(例如高於20°C)和高壓(例如高於1 atm),這可增強其降解。計量方法可以包括目視檢查、重量測量、測量掃描電子顯微術(SEM)中的奈米尺度變化、拉伸強度、硬度等。
所揭露的含碘蝕刻化合物可用於電漿蝕刻在襯底上的含矽膜。所揭露的電漿蝕刻方法可以在製造半導體器件,諸如NAND或3D NAND閘極或者快閃記憶體或DRAM記憶體或電晶體,諸如鰭形場效應電晶體(FinFET)、全環繞閘極(GAA)-FET、奈米線-FET、奈米片-FET、叉片-FET、互補FET(CFET)、塊狀互補金屬氧化物半導體(塊狀CMOS)、MOSFET、完全耗盡型絕緣體上矽(FD-SOI)結構上係有用的。所揭露的含碘蝕刻化合物可用於其他應用領域,諸如不同的前段製程(FEOL)和後段製程(BEOL)蝕刻應用。此外,所揭露的含碘蝕刻化合物還可以用於在3D矽通孔(TSV)蝕刻應用中蝕刻Si用於將記憶體與在襯底上和MEMS應用中的邏輯電路互相連接。
該電漿蝕刻方法包括提供具有襯底安置在其中的反應腔室。反應腔室可以是其中進行蝕刻方法的器件內的任何附件或腔室,諸如並且不限於反應性離子蝕刻(RIE)、具有單一或多個頻率RF源的電容耦合電漿(CCP)、電感耦合電漿(ICP)、或微波電漿反應器,或能夠選擇性地移除含矽膜的一部分或產生活性物種的其他類型的蝕刻系統。熟悉該項技術者將認識到,不同的電漿反應腔室設計提供不同的電子溫度控制。合適的可商購的電漿反應腔室包括但不限於以商標eMAXTM 出售的應用材料公司(Applied Materials)磁增強的反應性離子蝕刻器或以商標2300® FlexTM 出售的拉姆研究公司(Lam Research)雙重CCP反應性離子蝕刻器介電蝕刻產品家族。以這樣的電漿反應腔室中的RF功率可以被脈衝化以控制電漿特性,並且從而進一步改進蝕刻性能(選擇性和損害)。
可替代地,電漿處理過的反應物可以在反應腔室外產生。MKS儀器公司的ASTRONi® 反應性氣體產生器可以用於在通入反應腔室之前處理反應物。在2.45 GHz、7 kW電漿功率和範圍從近似0.5托至近似10托的壓力下操作,反應物O2 可分解成兩個O· 自由基。較佳的是,可以用範圍從約1 kW至約10 kW、更較佳的是從約2.5 kW至約7.5 kW的功率產生遠程電漿。
反應腔室可含有一個或多於一個襯底。例如,反應腔室可以含有從1至200個具有從25.4 mm至450 mm的直徑的矽晶圓。襯底可以是在半導體、光伏、平板或LCD-TFT器件製造中使用的任何適合的襯底。合適的襯底的實例包括晶圓,諸如矽、二氧化矽、玻璃、Ge、SiGe、GeSn、InGaAs、GaSb、InP、或GaAs晶圓。從先前的製造步驟,晶圓將具有在其上的多個膜或層,包括含矽膜或層。該等層可以是圖案化的或可以不是圖案化的。合適的層的實例包括但不限於矽(諸如無定形矽、p-Si、晶體矽、其中任一個可以進一步用B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge進行p-摻雜或n-摻雜)、二氧化矽、氮化矽、氧化矽、氧氮化矽、Sia Ob Hc Cd Ne (其中a > 0);b、c、d、e ≥ 0)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;掩模層材料諸如具有或不具有摻雜劑的無定形碳、減反射塗層、光阻劑材料、金屬氧化物(諸如AlO、TiO、HfO、ZrO、SnO、TaO等)或金屬氮化物層(諸如AlN、ZrN、SnN、HfN、氮化鈦、氮化鉭等)或它們的組合;蝕刻終止層材料(諸如氮化矽、多晶矽、晶體矽、碳化矽、SiON、SiCN或它們的組合)、器件通道材料(諸如晶體矽、外延矽、摻雜矽、Sia Ob Hc Cd Ne (其中a > 0;b、c、d、e ≥ 0))或它們的組合。該氧化矽層可以形成介電材料,諸如基於有機物或基於氧化矽的低k介電材料(例如,多孔SiCOH膜)。示例性的低k介電材料以商品名Black Diamond II或III由應用材料公司出售。此外,可以使用包含鎢或貴金屬(例如鉑、鈀、銠或金)的層。此外,該等含矽膜的實例可以是Sia Ob Hc Cd Ne (其中a > 0;b、c、d、e ≥ 0)。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為襯底。
以下是可以將所揭露的含碘蝕刻化合物施加在其上進行蝕刻的襯底的示例性實施方式。
在一個實施方式中,襯底100 可以包括多個層的堆疊,如圖1a 中示出的。 1a 係產生3D NAND閘極的3D NAND堆疊中的示例性層之截面側視圖。在 1a 中,七個交替的SiO/SiN(即,104a /104b )層的堆疊104 位於矽晶圓102 的頂部上(即,ONON或TCAT技術)。在一些應用中,晶圓102 可以是掩埋著陸層或材料,諸如在3D NAND結構中的鎢金屬加工線和/或另一種金屬(諸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它們的組合等)和/或蝕刻終止層或擴散阻擋層諸如金屬或金屬氧化物或氮化物層(AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN或它們的組合等)。熟悉該項技術者將認識到,阻擋層也可以由先前所提及的金屬和金屬氧化物和氮化物的組合組成,此阻擋層係Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaN等。阻擋也可以包含矽化物(諸如TiSiN、TaSiN、TiSi2 、MnSiO等)、磷化物(諸如CuWP、NiMoP、NiP等)、碳化物(諸如TaC、TaCN、WCN等)、硼化物(諸如NiMoB、NiB等)或它們的組合。熟悉該項技術者將認識到一些技術用p-Si層替換SiN層104b (例如,SiO/p-Si或P-BICS技術)。硬掩模層106 位於七個SiO/SiN層104 的頂部上。硬掩模層106 可以是具有或不具有摻雜劑的無定形碳(a-C)硬掩模層。硬掩模層106 可以是以下項的層:CVD、PECVD、ALD、PEALD或旋塗沈積(SOD)無定形碳或摻雜無定形碳、含矽旋塗掩模、或含碳旋塗掩模。硬掩模層106 可以含有C和H以及其他元素,諸如硼、氮、硫、氯、氟或金屬(Al、Zr、Ti、W、Y)等,以改進在SiO/SiN層蝕刻期間的抗蝕刻性。在該情況下,硬掩模層106 係摻雜a-C層,例如,硼摻雜a-C層、鎢摻雜a-C層等。減反射塗層108 位於硬掩模層106 的頂部上。圖案化的光阻劑層110 位於減反射塗層108 的頂部上。在此,在減反射塗層108 與硬掩模層106 之間可以存在SiON層(未示出),以將光阻劑層110 中的圖案轉移至硬掩模層106 以形成圖案化硬掩模層。熟悉該項技術者將認識到, 1a 中的襯底100 中的層的堆疊僅出於示例性目的而提供,並且所揭露的含碘蝕刻化合物可用於蝕刻其他類型的層的堆疊。此外,熟悉該項技術者將認識到,襯底100 的堆疊中的交替的SiO/SiN或SiO/p-Si層104 的數目可以變化(即,可以包括多於或少於所描繪的七個SiO/SiN(104a /104b )層)。
1b 1a 的3D NAND堆疊中的示例性層之截面側視圖,示出了藉由蝕刻沈積在側壁上的聚合物。所揭露的含碘蝕刻化合物可以在電漿製程期間產生適合於各向異性蝕刻含矽膜104 並且在正被蝕刻的結構的側壁上沈積含I聚合物鈍化層212 兩者的碎片,如 1b 中示出的。在 1b 1a 之間的差異係,在 1b 中,通孔214 藉由使用所揭露的含碘蝕刻化合物的電漿蝕刻而在襯底100 中形成,該等化合物還將聚合物鈍化層212 沈積在通孔214 的側壁上。聚合物鈍化層212 還在通孔214 的底部處提供了更平滑的側壁、較少的彎曲和較少的變形。然而,聚合物鈍化層212 可以藉由本領域已知的乾式或濕式蝕刻化學過程容易地移除或清潔。
1c 1a 的3D NAND堆疊中的示例性層之截面側視圖,示出了在3D NAND堆疊中的交替SiO/SiN層蝕刻期間產生的顆粒316 。如 1c 中示出的,在交替的SiO/SiN(即,104a /104b )層104 的側壁上產生的顆粒316 可以藉由使用所揭露的含碘蝕刻化合物來最小化。在 1c 1b 之間的差異係,在 1c 中,交替的SiO/SiN暴露的側壁具有在電漿蝕刻期間產生的顆粒316 。諸位申請人不認為所揭露的含碘蝕刻化合物將產生 1c 中示出的顆粒316
1d 1a 的3D NAND堆疊中的示例性層之截面側視圖,示出了在各向異性蝕刻製程之後在3D NAND堆疊中的側壁上暴露的SiN層104b 的選擇性的各向同性蝕刻。堆疊100 中的SiN暴露的側壁可以藉由以下方式選擇性地蝕刻,如 1d 中示出的:使用所揭露的含碘蝕刻化合物來選擇性地破壞SiN層104b 中的Si-N鍵(越過SiO層104a 中的Si-O鍵),在通孔214 中的SiO/SiN層104 的堆疊上形成選擇性側壁SiN蝕刻418 。在 1d 1b 之間的差異係,在 1d 中,藉由所揭露的含碘蝕刻化合物來選擇性地蝕刻交替的SiO/SiN側壁上暴露的SiN,形成選擇性側壁SiN蝕刻418 。典型地,選擇性側壁SiN蝕刻418 藉由使用與磷酸的混合物的濕式蝕刻來進行。已知用乾式電漿蝕刻製程替換濕式蝕刻製程來大大改進半導體器件製造製程的經濟性,因為濕式蝕刻要求將襯底移動至不同的濕式蝕刻設備。用所揭露之方法,可以在一件蝕刻設備中進行包括 1d 的選擇性側壁SiN蝕刻的所有蝕刻,這可以減少半導體製造的成本。
在替代性實施方式中,襯底100 可以包括在其上的多個層的堆疊,如 2 中示出的。 2 係產生DRAM記憶體的DRAM堆疊中的示例性層之截面側視圖。在 2 中,四層的堆疊位於矽晶圓102 的頂部上。硬掩模層106 位於大SiO層104a 的頂部上。減反射塗層108 位於硬掩模層106 的頂部上。圖案光阻劑層110 位於減反射塗層108 的頂部上。在減反射塗層108 與硬掩模層106 之間可以存在SiON層(未示出),以將光阻劑層110 中的圖案轉移至硬掩模層106 中。熟悉該項技術者將認識到, 2 中的層的堆疊僅出於示例性目的而提供,並且所揭露的含碘蝕刻化合物可以用於蝕刻其他層的堆疊,例如,對於其中用TiN層替換硬掩模層106 的堆疊。此外,熟悉該項技術者將認識到,該堆疊中的層數可以變化(即,可以包括多於或少於所描繪的層)。
3a 係示例性層之截面側視圖,示出了在圍繞典型的電晶體器件區域的SiO絕緣層上的產生電晶體結構的光阻劑圖案。如 3a 中示出的,襯底600 可以包括圍繞支撐在矽晶圓602 上的電晶體閘極電極區域的四層的堆疊。 3a 中示出的電晶體區域包括充當源極和漏極的兩個摻雜的矽區域606 。電晶體閘極電介質614 存在於閘極電極616 下面。整個電晶體(即,電晶體閘極電介質614 和閘極電極616 )被薄的SiN層608 圍繞,該SiN層可以稍後在接觸蝕刻期間充當蝕刻終止層。每個電晶體器件區域616/606 被矽晶圓602 中的SiO隔離區域604 分開以使電干擾最小化。熟悉該項技術者將認識到,層602 可以位於絕緣體上矽(SOI)晶圓的氧化矽層的頂部上。另一個SiO層610 被沈積在電晶體上並用於使任何金屬接點與電晶體器件區域606 絕緣。使用光阻劑掩模612 來圖案化SiO層610 。在電漿環境中使用所揭露的含碘蝕刻化合物進行蝕刻。光阻劑掩模612 充當蝕刻SiO層610 的模板,並且在SiN層608 上停止蝕刻,如 3b 中示出的。
3b 係在蝕刻該SiO絕緣層之後的 3a 的示例性層之截面側視圖。在圖3b 與圖3a 之間的差異係,在圖3b 中,藉由經由所揭露的含碘蝕刻化合物的蝕刻在SiO層610 中形成通孔718 。SiO層610 可以用光阻劑層612 作為掩模層進行蝕刻。該掩模層可以是任何合適的光阻劑掩模材料,諸如TiN、a-C等。可以在下面的SiN層608 處停止該蝕刻。
所揭露的含碘蝕刻化合物還可以用於用不同的電漿條件和不同的混合物來蝕刻SiN層608 。熟悉該項技術者將認識到, 3a 3b 中的層的堆疊和幾何形狀僅出於示例性目的而提供,並且所揭露的含碘蝕刻化合物可用於蝕刻其他類型的層的堆疊。此外,熟悉該項技術者將認識到,該堆疊中的層數可以變化(即,可以包括多於或少於所描繪的四個層)。
將所揭露的含碘蝕刻化合物的蒸氣引入含有該襯底和含矽膜的反應腔室中。可以以在從大約0.1 sccm至大約1 slm範圍內的流速將該蒸氣引入該腔室中。例如,對於200 mm晶圓尺寸,可以以在從大約5 sccm至大約50 sccm範圍內的流速將該蒸氣引入該腔室中。可替代地,對於450 mm晶圓尺寸,可以以在從大約25 sccm至大約250 sccm範圍內的流速將該蒸氣引入該腔室中。熟悉該項技術者將認識到,流速可以隨工具不同而不同。
所揭露的含碘蝕刻化合物可以以純淨形式或與惰性氣體(諸如N2 、Ar、Kr、Ne、He、Xe等)或溶劑的共混物供應。所揭露的含碘蝕刻化合物可以是以變化濃度存在於該共混物中。對於液體含碘蝕刻化合物,可藉由經由常規的汽化步驟(諸如直接汽化)使純淨的或共混的含碘蝕刻化合物溶液汽化或藉由鼓泡來產生該等含碘蝕刻化合物的蒸氣形式。該等純淨的或共混的含碘蝕刻化合物可在引入反應器中之前以液態進料到汽化器中,在該汽化器中使其汽化。
可替代地,可藉由將載氣傳送至含有所揭露的含碘蝕刻化合物的容器內或藉由將載氣鼓泡進所揭露的含碘蝕刻化合物內使該等純淨的或共混的含碘蝕刻化合物汽化。載氣可以包括但不限於Ar、He、N2 、Kr、Xe、Ne和它們的混合物。用載氣鼓泡也可以除去在純淨的或共混的含碘蝕刻化合物溶液中存在的任何溶解氧。然後將載氣和所揭露的含碘蝕刻化合物作為蒸氣引入反應器中。
必要時,含有所揭露的含碘蝕刻化合物的容器可以被加熱到允許該等含碘蝕刻化合物處於液相並且具有用於遞送到蝕刻工具中的足夠的蒸氣壓的溫度。可將容器維持在例如大約0°C至大約150°C、較佳的是從大約25°C至大約100°C、更較佳的是從大約25°C至大約50°C的範圍內的溫度下。更較佳的是,將該容器維持在室溫(大約25°C)下以便避免加熱通向蝕刻工具的管線。熟悉該項技術者認識到可以按已知方式調節該容器的溫度以控制汽化的含碘蝕刻化合物的量。
此外,該等含碘蝕刻化合物以在按體積計從95%至99.999%的範圍內的純度遞送,並且可以用移除CO、CO2 、N2 、H2 O、HF、H2 S、SO2 、鹵化物和其他烴或氫鹵烴的已知的標準純化技術來純化。
還將惰性氣體引入該反應腔室中以便維持該電漿。該惰性氣體可以為He、Ar、Xe、Kr、Ne、N2 、He或它們的組合。在引入該腔室中之前,可以混合該蝕刻氣體和該惰性氣體,其中該惰性氣體占所得混合物的在近似0.01% v/v與近似99.9% v/v之間。可替代地,可將該惰性氣體連續地引入該腔室中,而以脈衝形式將該蝕刻氣體引入該腔室中。
所揭露的蝕刻氣體的蒸氣和惰性氣體被電漿活化以產生經活化的蝕刻氣體。該電漿將該蝕刻氣體分解成自由基形式(即,經活化的蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用在從約25 W至約100,000 W範圍內的RF功率產生。電漿可以遠端地或在反應器本身內產生。電漿可以以雙重CCP或ICP模式在兩個電極處施加的RF下產生。電漿的RF頻率可在從100 KHz至1GHz的範圍內。可在同一電極處耦合且施加不同頻率的不同RF源。電漿RF脈衝可進一步用於控制襯底處的分子碎片和反應。熟悉該項技術者應認識到適用於此類電漿處理之方法和設備。
四極質譜儀(QMS)、光學發射光譜儀、FTIR或其他自由基/離子測量工具可測量來自腔室排氣的經活化的蝕刻氣體以確定所產生的物種的類型和數目。必要時,可調節蝕刻氣體和/或惰性氣體的流速以增加或減少所產生的自由基物質的數量。
所揭露的蝕刻氣體可在引入反應腔室中之前或在該反應腔室內與其他氣體混合。較佳的是,可以在引入腔室中之前將該等氣體混合,以便提供均勻濃度的進入氣體。
在另一個替代方案中,可以將該含碘蝕刻化合物的蒸氣獨立於其他氣體引入該腔室中,諸如當兩種或更多種氣體反應或更容易單獨遞送時。
在另一個替代方案中,該蝕刻氣體和該惰性氣體係在蝕刻製程期間使用的僅有的兩種氣體。
示例性其他氣體包括但不限於氧化劑諸如O2 、O3 、CO、CO2 、NO、N2 O、NO2 、H2 O、H2 O2 、COS、SO2 以及它們的組合。所揭露的蝕刻氣體和氧化劑可在引入反應腔室中之前混合在一起。
可替代地,可向該腔室中連續地引入氧化劑且向該腔室中以脈衝形式引入蝕刻氣體。該氧化劑可占引入腔室中的混合物的在近似0.01% v/v至大約99.99% v/v之間(其中99.99% v/v表示針對連續引入替代方案的幾乎純氧化劑的引入)。
所揭露的含碘蝕刻化合物可以與其一起使用的其他示例性氣體包括附加蝕刻氣體,諸如cC4 F8 、C4 F8 、cC5 F8 、C5 F8 、C4 F6 、CF4 、CH3 F、CF3 H、CH2 F2 、C3 HF7 、C3 F6 、C3 H2 F6 、C3 H2 F4 、C3 H3 F5 、C4 HF7 、C5 HF9 、C3 F6 、C3 F8 、CF3 I、C2 F3 I、C2 F5 I、C3 F7 I、1-碘七氟丙烷(1-C3 F7 I)、2-碘七氟丙烷(2-C3 F7 I)、C3 HF7 、COS、FNO、F-C≡N、CS2 、SO2 、SF6 、反式-1,1,1,4,4,4-六氟-2-丁烯(反式 -C4 H2 F6 )、順式-1,1,1,4,4,4-六氟-2-丁烯(順式 -C4 H2 F6 )、六氟異丁烯(C4 H2 F6 )、反式-1,1,2,2,3,4-六氟環丁烷(反式 -C4 H2 F6 )、1,1,2,2,3-五氟環丁烷(C4 H3 F5 )、1,1,2,2-四氟環丁烷(C4 H4 F4 )、以及順式-1,1,2,2,3,4-六氟環丁烷(順式 -C4 H2 F6 )或它們的組合。例如,大約1% v/v至大約25% v/v的所揭露的含碘蝕刻化合物可以與餘量的C4 F6 或cC4 F8 一起使用。如以下實例中所示,所揭露的含碘蝕刻化合物與常規蝕刻氣體的組合可導致增加的蝕刻速率,同時保持襯底和與所揭露的含碘蝕刻化合物相關聯的待蝕刻層之間的較高選擇性。
在引入該反應腔室中之前可以將所揭露的含碘蝕刻化合物的蒸氣和該蝕刻氣體混合。該附加的蝕刻氣體可占引入腔室中的混合物的在近似0.01% v/v與近似99.99% v/v之間。
在一種非限制性示例性電漿蝕刻製程中,使用受控制的氣流器件將所揭露的含碘蝕刻化合物的蒸氣(諸如C4 F9 I)引入200 mm的雙重CCP電漿蝕刻工具中。該受控制的氣流器件可以是質量流量控制器或者鼓泡器設計,其中惰性氣體流遞送所希望分子的蒸氣。在高沸點分子的情況下,可以使用來自布魯克斯自動化公司(Brooks Automation)(編號GF120XSD)、MKS儀器公司(MKS Instruments)等的特定的低壓降質量流量控制器。將該反應腔室的壓力設定在大約30毫托下。如果蒸氣壓力足夠,則不需要氣源加熱。將兩個CCP電極之間的距離保持在1.35 cm,並且將頂部電極RF功率固定在750 W。改變底部電極RF功率以分析分子的性能。該反應腔室含有在其上具有含矽膜的襯底,類似於 1a 中示出的那些。藉由氟碳化合物(例如,CF4 和CH2 F2 )和含氧氣體(例如,O2 )對減反射塗層108 進行圖案化/蝕刻。藉由含氧氣體對無定形碳掩模層進行圖案化/蝕刻。藉由所揭露的含碘蝕刻化合物(例如,C4 F9 I)和氬氣的電漿對SiO和SiN層104 進行圖案化。將氬氣以250 sccm的流速獨立地引入該腔室中。將C4 F9 I以15 sccm獨立地引入該腔室中。將O2 獨立地引入該腔室中並且從0 sccm變化至20 sccm以確定最佳的蝕刻條件。產生了具有等於或大於10 : 1的縱橫比的孔,其可以用作3D NAND中的通道孔洞或接觸孔洞或階梯式蝕刻、狹縫蝕刻。對於如 2 3a 中示出的其他堆疊層,可以使用類似的實例。
在另一種非限制性示例性電漿蝕刻製程中,使用受控制的氣流器件將C4 F9 I引入200 mm的雙重CCP電漿蝕刻工具中。該受控制的氣流器件可以是質量流量控制器。在高沸點分子的情況下,可以使用來自布魯克斯自動化公司(Brooks Automation)(編號GF120XSD)、MKS儀器公司(MKS Instruments)等的特定的低壓降質量流量控制器。將該反應腔室的壓力設定在大約30毫托下。如果蒸氣壓力足夠,則不需要氣源加熱。將兩個CCP電極之間的距離保持在1.35 cm,並且將頂部電極RF功率固定在750 W。改變底部電極RF功率以分析C4 F9 I的性能。該反應腔室含有在其上具有厚SiO層104a 的襯底100 ,類似於 2 中示出的層。在此製程之前,藉由氟碳化合物(例如CF4 )和含氧氣體(例如O2 )移除減反射塗層108 ,並且藉由含氧氣體移除A-c掩模層106 。將氬氣以250 sccm的流速獨立地引入該腔室中。將C4 F9 I以15 sccm獨立地引入該腔室中。將O2 以0-20 sccm獨立地引入該腔室中以確定最佳的蝕刻條件。產生了具有等於或大於10 : 1的縱橫比的孔,其可以用作DRAM中的接觸孔洞。對於如 1a 3a 中示出的其他堆疊層,可以使用類似的實例。
含矽膜和經活化的含碘蝕刻氣體反應以形成揮發性副產物,將該等副產物從反應腔室中移除。該a-C掩模、減反射塗層和光阻劑層與經活化的蝕刻氣體的反應性較小。因此,該經活化的蝕刻氣體選擇性地與含矽膜反應以形成揮發性副產物。
使反應腔室內的溫度和壓力保持在適用於含矽膜與經活化的蝕刻氣體反應的條件下。例如,根據蝕刻參數,可使腔室中的壓力保持在近似0.1毫托與近似1000托之間、較佳的是在近似1毫托與近似10托之間、更較佳的是在近似10毫托與近似1托之間、並且更較佳的是在近似10毫托與近似100毫托之間。同樣地,腔室中的襯底溫度可在大約-196°C至大約500°C之間、較佳的是在大約-120°C至大約300°C之間、更較佳的是在大約-100°C至大約50°C之間;並且更較佳的是在大約-10°C至大約40°C之間的範圍內。腔室壁溫度可取決於製程要求在從近似-196°C至近似300°C的範圍內。
在含矽膜與經活化的蝕刻氣體之間的反應導致從襯底各向異性移除含矽膜。氮、氧和/或碳的原子也可存在於該含矽膜中。移除歸因於由電漿離子物理濺射含矽膜(藉由電漿加速)和/或藉由電漿物種的化學反應將Si轉化成揮發性物種,諸如SiFx ,其中x在從1-4的範圍內。
所揭露的含碘蝕刻化合物的電漿活化的蒸氣較佳的是展現出對掩模的高選擇性並且蝕刻穿過SiO和SiN的交替層,導致垂直的蝕刻輪廓,而沒有彎曲或粗糙度,這對於3D NAND應用係重要的。此外,電漿活化的蒸氣將聚合物沈積在側壁上以使特征輪廓變形最小化。對於其他應用,諸如DRAM和2D NAND,例如,在不同製程條件下的電漿活化的蝕刻氣體可以從SiN選擇性地蝕刻SiO。電漿活化的蝕刻氣體可以從以下項選擇性地蝕刻SiO和/或SiN:掩模層,諸如a-C、光阻劑、p-Si、或碳化矽;或金屬接觸層,諸如Cu、W、Ru等;或由SiGe或多晶矽區域組成的通道區域。
所揭露的使用所揭露的含碘蝕刻化合物作為蝕刻氣體的蝕刻製程在含矽膜中產生了通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞、接觸蝕刻、狹縫蝕刻、自對準接點、自對準通孔、超通孔等。所得孔可以具有在從大約10 : 1至大約200 : 1範圍內的縱橫比和在從大約5 nm至大約500 nm範圍內、較佳的是小於100 nm的直徑。例如,熟悉該項技術者將認識到,通道孔洞蝕刻在含矽膜中產生具有大於50 : 1的縱橫比的孔。
需要被蝕刻的典型材料可以是SiO。蝕刻SiO的製程可能與在硼磷矽酸鹽玻璃(BPSG)、原矽酸四乙基酯(TEOS)或低沈積速率TEOS(LDTEOS)中的蝕刻溝槽有關。蝕刻終止層可以是氮化矽或氮氧化矽(SiON)或多晶矽。所使用的掩模材料可以是a-C、p-Si、或光阻劑材料。在此,所揭露的含碘蝕刻化合物被施加以蝕刻SiO、SiN、p-Si和/或a-C襯底膜。
如以下實例中所示,用所揭露的含碘蝕刻化合物對SiO、SiN、p-Si和a-C膜進行乾式蝕刻的評估示出,與先前技術的氟碳化合物相比,含碘HFC產生氧化矽相對於無定形碳、氮化矽和p-Si的最高的選擇性。高選擇性的原因可能歸因於在蝕刻氣體的電漿解離期間形成低F/C含碘碎片,這導致在襯底上形成保護性聚合物膜。此外,碘被電離並用類似於諸如Ar、Kr和Xe的惰性氣體的重I離子轟擊表面。此外,碘電離原子藉由摻雜有機硬掩模並改進其抗蝕刻性來改性有機硬掩模的表面,以便有利於高縱橫比蝕刻。經活化的含碘蝕刻化合物產生碘離子,該碘離子增強圖案化掩模層,從而在襯底中形成圖案化結構的同時補強並最小化對圖案化掩模層的損害。在此呈現的蝕刻氣體結果示出,它們不僅準備好用於圖案化結構蝕刻製程,諸如接觸蝕刻製程,而且可能對於在含矽或含金屬的襯底上的其他蝕刻製程(包括低k蝕刻製程)係有益的。另外,在藉由用所揭露的含碘蝕刻化合物蝕刻來形成圖案化結構的製程中,可以藉由將碘摻雜至圖案掩模層的表面來增加圖案掩模層的抗蝕刻性,從而改性表面。在該情況下將碘離子從經活化的含碘蝕刻化合物注入圖案化掩模層(即,圖案化掩模層的表面和/或本體(body/bulk),同時從襯底蝕刻含矽膜,以形成圖案化結構。另外,所揭露的含碘蝕刻化合物適用於降低由全氟化碳化合物所引起的全球變暖潛能。實例
提供以下非限制性實例來進一步說明本發明之實施方式。然後,該等實例不旨在皆為包括所有實例,並且也不旨在限制本文所述發明的範圍。
在以下實例中,用市售LAM工具4520XLe 200 mm(CCP雙重頻率電漿)或可替代地用市售AMEC 300 mm Primo SSC HD-RIE蝕刻器進行實驗。平面晶圓購自Advantive Tech.公司。所測試的平面晶圓係以下的四個不同襯底。 在Si襯底上的2 um PECVD TEOS(SiO); 在Si襯底上的2 um PECVD Si3 N4 (SiN); 在Si襯底上的300 nm LPCVD多晶矽(多晶-Si);以及 在Si襯底上的350 PECVD無定形碳(a-C)。
對於平面測試,使用橢偏儀和/或掃描電子顯微鏡(SEM)藉由測量作為蝕刻時間的函數的蝕刻厚度的變化來測量蝕刻速率(ER)。在具有以上所列的四種不同襯底材料(包括SiO、SiN、p-Si和a-C)的四個1.5 × 1.5 cm2 試樣上進行蝕刻實驗。將試樣放置在200 mm直徑的載體晶圓上,並藉由使用矽油或導熱膏(thermal paste)保持接觸。可替代地,可以使用從2 spi製造商獲得的雙面碳帶將試樣黏貼在載體晶圓上。
蝕刻測試在30毫托的壓力、750 W(27 MHz)的源功率、1500 W(2 MHz)的偏壓功率、以及20°C溫度下進行。進料混合物含有250 sccm的Ar、15 sccm的蝕刻氣體,而O2 在0至20 sccm範圍內變化。
為了證明可重複性,各蝕刻測試已經重複至少三次。三次測量的平均值的標準差在圖表中示出為誤差條。隨後,藉由X射線光電子能譜法(XPS)研究了聚合物組成。對比實例
4 係表明用1-C3 F7 I(CAS號754-34-7)、O2 和Ar的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖。在 4 中,y軸表示蝕刻速率;x軸係以sccm為單位的O2 流速;將1-C3 F7 I流速固定在15 sccm,將Ar固定在250 sccm,而O2 流速從0變化至20 sccm。當O2 流速從0升高至20時,觀察到成反比的趨勢:SiO2 ER隨著O2 流速的增加而降低,而SiN、p-Si和a-C ER隨著O2 流速的增加而增加。因此,當沒有氧流動時,示出最高的選擇性(意指在SiO ER與其他材料ER速率之間的比率)。在0 sccm的O2 下,SiO2 /a-C選擇性係38.5,SiO2 /p-Si選擇性係22,並且SiO2 /SiN選擇性係11。
5 係表明C3 F7 I的電子碰撞電離能(eV)對比物種濃度(托)之曲線圖。在10與20 eV之間產生的優勢碎片係CF3 、C3 F7 、和I。實例 1
6 係表明用1-C4 F9 I、O2 和Ar的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖。在 6 中,y軸表示蝕刻速率;x軸係以sccm為單位的O2 流速;將1-C4 F9 I流速固定在15 sccm,將Ar固定在250 sccm,而O2 流速從0變化至20 sccm。
當O2 流速從0升高至20時,觀察到成反比的趨勢:SiO2 ER隨著O2 流速的增加而降低,而SiN、p-Si和a-C ER隨著O2 流速的增加而增加。因此,當沒有氧流動時,示出最高的選擇性(意指在SiO ER與其他材料ER速率之間的比率)。在0 sccm的O2 下,SiO2 /a-C選擇性係71,SiO2 /p-Si選擇性係47,並且SiO2 /SiN選擇性係17。與 4 中所示出的1-C3 F7 I的結果相比,相對於所測試的所有其他材料,1-C4 F9 I在SiO2 ER和SiO2 的選擇性兩方面均優於1-C3 F7 I。
由於C-I更弱的鍵,碘的添加給出了1-C3 F7 I和1-C4 F9 I兩者的增加的碎片。轟擊表面的碘離子的更低電離閾值對比a-C、多晶Si或SiN產生了用於蝕刻氧化物(SiO)的增加的選擇性。 3 係與工業中通常使用的基準標準蝕刻氣體cC4 F8 和C4 F6 的對比。1-C4 F9 I示出了比基準氣體(cC4 F8 和C4 F6 )更高的SiO2 /a-C選擇性,分別為高約88%和85%,並且比1-C3 F7 I為高約46%。1-C4 F9 I示出了比基準氣體(cC4 F8 和C4 F6 )更高的SiO2 ER,分別高8.6%和16.4%,並且比1-C3 F7 I高9%。 10 11 分別是表明用cC4 F8 和C4 F6 的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖。 [ 3 ]
SiO2 ER [nm/min] SiO2 /a-C選擇性
cC4 F8 585 8.7
C4 F6 535 10.7
1-C3 F7 I 580 38.5
1-C4 F9 I 640 71
實例 2
1-C4 F9 I在具有或不具有摻雜劑的不同a-C掩模層上蝕刻之後,XPS數據分析。
進行在75°樣品傾斜和深度分佈下變角解析的(angle resolved)XPS以分析a-C掩模上的聚合物組成並用SiO2 襯底作為基準。
為了模擬在圖案的電漿處理蝕刻期間在頂部表面上和側壁上發生的聚合物層形成,調節製程條件:將a-C掩模、硼摻雜a-C [a-C(B)]和鎢摻雜a-C [a-C(W)]以及SiO2 (TEOS)試樣在襯底上以30毫托下蝕刻60秒,源功率750 W(27 MHz),以及偏置功率1500 W(2 MHz)。製程進料混合物含有125 sccm的Ar、30 sccm的1-C4 F9 I並且無O2 。到達表面的中性物種和活性物種基於其黏貼係數黏貼至該表面上,並沈積薄的聚合物層。這個薄的聚合物層可能是側壁鈍化的原因,並且經常提供選擇性。 4 6 係在1-C4 F9 I蝕刻之後在不同a-C層上在75°下變角解析的XPS。 [ 4 ]. 在a-C上在75°下變角解析的XPS
BE [eV] 原子濃度[%] 誤差[%] 質量濃度[%] 誤差[%]
O 1s 529.90 4.94 0.19 4.57 0.17
I 3d 617.50 2.07 0.03 15.22 0.21
F 1s 684.30 38.65 0.32 42.47 0.30
C 1s 282.30 54.34 0.35 37.75 0.32
[ 5 ]. 在a-C(B)上在75°下變角解析的XPS
BE [eV] 原子濃度[%] 誤差[%] 質量濃度[%] 誤差[%]
O 1s 529.40 4.57 0.18 4.26 0.16
I 3d 616.60 2.09 0.03 15.44 0.21
F 1s 683.50 37.38 0.38 41.38 0.33
C 1s 282.30 52.55 0.47 36.77 0.35
B 1s 184.00 3.41 0.57 2.15 0.36
[ 6 ]. 在a-C(W)上在75°下變角解析的XPS
BE [eV] 原子濃度[%] 誤差[%] 質量濃度[%] 誤差[%]
O 1s 530.60 6.05 0.26 5.55 0.24
I 3d 617.80 1.84 0.04 13.39 0.27
F 1s 684.70 34.33 0.40 37.41 0.38
C 1s 282.80 57.39 0.45 39.53 0.42
W 4f 31.70 0.39 0.02 4.12 0.19
在3個不同的a-C掩模 [a-C、a-C(B)和a-C(W)]中的碘的表面原子濃度係相當的:約2%。
對於XPS深度分佈,已經使用在5 keV離子能量下的大簇離子氣體Ar1000 + 代替單原子Ar+ 以便使化學損害最小化並避免在氬氣濺射期間碘原子的潛在撞擊,這可能在有機材料濺射期間發生,諸如氟碳聚合物或無定形碳。
在a-C襯底上的深度分佈(profile):表面原子濃度(%)列於 7 7 中。在a-C(B)襯底上的深度分佈:表面原子濃度(%)列於 8 8 中。在a-C(W)襯底上的深度分佈:表面原子濃度(%)列於 9 9 中。1-C4 F9 I在SiO2 襯底上蝕刻之後的表面原子濃度列於 10 中。 [ 7 ]
C1s F1s O1s I3d
64.4 22.6 10.0 1.5
[ 8 ]
C1s F1s O1s B 1s I3d
62.0 20.5 10.7 4.0 1.6
[ 9 ]
C1s F1s O1s W 4f I3d
62.5 23.0 10.4 0.9 1.8
[ 10 ]
Si 2p C 1s F 1s O 1s I 3d
24.0 18.4 11.6 53.1 0.3
與Si基材料的蝕刻相比,所揭露的含碘蝕刻化合物在a-C掩模蝕刻期間示出令人關注的行為。根據XPS數據,碘在a-C掩模上深深地穿透,類似於摻雜效應,有助於在蝕刻期間保持它。 ●  在所有a-C、a-C(B)和a-C(W)中檢測到的碘在表面上大約2%並且在本體中更深的量更低; ●  在所有a-C掩模上檢測到的表面的Cx Fy Iz (x ≤ 4,y ≤ 9,z ≤ 1)聚合物(最高達50秒蝕刻); ●  在不同a-C掩模中碘相對氟的穿透: ■  在a-C中:I比F穿透更深(分別地,410秒相對450秒), ■  在a-C(W)中:I比F穿透更深(分別地,90秒相對45秒), ■  在a-C(B)中:F比I穿透更深(分別地,210秒相對90秒); ●  相比之下,在SiO2 襯底上幾乎未檢測到碘(0.3%),僅形成非常薄的FC聚合物。
該實例示出,碘離子藉由沈積由Cx Fy Iz 原子組成的聚合物層來改性圖案掩模的表面並且碘離子深深地穿透進圖案掩模層的本體中。將關於蝕刻之後圖案掩模的組成的XPS數據與實例1中所示出的蝕刻數據相關聯,可以觀察碘離子的效應。含碘蝕刻化合物藉由增加與有機層的交聯,使得圖案掩模更強並增加其抗蝕刻性來説明保持圖案掩模層。
儘管本文描述的主題可以在說明性實現方式的上下文中描述,以處理具有使用者交互元件的計算應用的一個或多個計算應用特徵/操作,但是主題不限於該等特定實施方式。而是,本文描述的技術可以應用於任何合適類型的使用者交互元件執行管理方法、系統、平臺和/或裝置。
應當理解,由熟悉該項技術者可在如所附請求項中所表述的本發明之原則和範圍內做出本文已經描述且闡明以解釋本發明之本質的細節、材料、步驟和零件佈置上的許多附加的改變。因此,本發明不意圖限於上面給出的實例和/或附圖中的特定實施方式。
儘管已示出且描述了本發明之實施方式,但熟悉該項技術者可在不脫離本發明之精神或傳授內容的情況下對其進行修改。本文所述之實施方式僅是示例性的而不是限制性的。組成物和方法的許多變化和修改係可能的,並且在本發明之範圍內。因此,保護範圍不限於本文所述之實施方式,而是僅由其後的請求項限制,所述請求項的範圍應包括請求項的主題的所有等效形式。
1a 100:襯底 102:矽晶圓 104:SiO/SiN層 104a:SiO層 104b:SiN層 106:硬掩模層 108:減反射塗層 110:圖案化的光阻劑層 1b 212:聚合物鈍化層 214:通孔 1c 316:顆粒 1d 418:選擇性側壁SiN蝕刻 2 200:襯底 102:矽晶圓 104a:SiO層 106:硬掩模層 108:減反射塗層 110:圖案化的光阻劑層 3a 600:襯底 602:矽晶圓 604:SiO隔離區域 606:兩個摻雜的矽區域 608:薄SiN層 610:SiO層 612:光阻劑掩模 614:電晶體閘極電介質 616:閘極電極 3b 718:通孔
為了進一步理解本發明之本質和目的,應結合附圖來參考以下詳細說明,在附圖中相似元件給予相同或類似的附圖標記,並且在附圖中: [ 1a ]係3D NAND堆疊中的示例性層之截面側視圖; [ 1b ]係3D NAND堆疊中的示例性層之截面側視圖,示出了在3D NAND堆疊的蝕刻期間沈積在側壁上的聚合物; [ 1c ]係3D NAND堆疊中的示例性層之截面側視圖,示出了在3D NAND堆疊的交替SiO/SiN層蝕刻期間產生的顆粒; [ 1d ]係3D NAND堆疊中的示例性層之截面側視圖,示出了在3D NAND堆疊中的側壁上暴露的SiN的選擇性蝕刻; [ 2 ]係DRAM堆疊的示例性層之截面側視圖; [ 3a ]係示例性層之截面側視圖,示出了在圍繞典型的電晶體器件區域的SiO絕緣層之上的產生電晶體結構的光阻劑圖案; [ 3b ]係在蝕刻該SiO絕緣層之後的 3a 的示例性層之截面側視圖; [ 4 ]係表明用1-C3 F7 I、O2 和Ar的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖; [ 5 ]係表明C3 F7 I的電子碰撞電離能(eV)對比物種濃度(托)之曲線圖; [ 6 ]係表明用1-C4 F9 I、O2 和Ar的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖; [ 7 ]係在a-C襯底上的1-C4 F9 I深度分佈; [ 8 ]係在a-C(B)襯底上的1-C4 F9 I深度分佈; [ 9 ]係在a-C(W)襯底上的1-C4 F9 I深度分佈; [ 10 ]係表明用cC4 F8 的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖;並且 [ 11 ]係表明用C4 F6 的SiO、SiN、p-Si和a-C的蝕刻速率之曲線圖。
100:襯底
102:矽晶圓
104:SiO/SiN層
104a:SiO層
104b:SiN層
106:硬掩模層
108:減反射塗層
110:圖案化的光阻劑層

Claims (20)

  1. 一種用於形成圖案化結構之方法,該方法包括以下步驟: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在含矽層上的圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4; 使電漿活化以產生經活化的含碘蝕刻化合物;以及 允許在該經活化的含碘蝕刻化合物與該含矽膜之間進行蝕刻反應,以從該圖案化掩模層選擇性地蝕刻該含矽膜,從而形成該圖案化結構。
  2. 如請求項1所述之方法,其進一步包括以下步驟:將氧化劑引入該反應腔室,其中該氧化劑選自O2 、O3 、CO、CO2 、NO、N2 O、NO2 、H2 O、H2 O2 、COS、SO2 以及它們的組合。
  3. 如請求項1所述之方法,其進一步包括以下步驟:將惰性氣體引入該反應腔室,其中該惰性氣體選自由He、Ar、Xe、Kr、Ne和N2 組成之群組。
  4. 如請求項1所述之方法,其中該含碘蝕刻化合物係C4 F9 I或其異構物。
  5. 如請求項1所述之方法,其中該含矽膜包含以下各項的層:氧化矽(SiO)、氮化矽(SiN)、晶體Si、多晶矽(p-Si)、多晶矽(polycrystalline silicon)、無定形矽、低-k SiCOH、SiOCN、SiC、SiON和Sia Ob Hc Cd Ne (其中a > 0;b、c、d和e ≥ 0)、交替的SiO和SiN(ONON)層、交替的SiO和p-Si(OPOP)層。
  6. 如請求項1至5中任一項所述之方法,其中該含矽膜視需要含有摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及它們的組合。
  7. 如請求項1至5中任一項所述之方法,其中使用該含碘蝕刻化合物蝕刻該氧化矽層的蝕刻速率高於使用以下可替代的蝕刻氣體蝕刻該氧化矽層的蝕刻速率:cC4 F8 、C4 F6 、CF4 、CH3 F、CF3 H、CH2 F2 、以及它們的組合。
  8. 如請求項1至5中任一項所述之方法,其中該含碘蝕刻化合物電漿蝕刻該交替的SiO和SiN(ONON)層,其對於該SiO層對比SiN層具有在大約1 : 2至大約2 : 1之間的選擇性。
  9. 如請求項1至5中任一項所述之方法,其中該含碘蝕刻化合物電漿蝕刻該交替的SiO和SiN(ONON)層,其對於該SiO層對比SiN層具有大約1 : 1的選擇性。
  10. 如請求項1至5中任一項所述之方法,其中該含碘蝕刻化合物電漿蝕刻該交替的SiO和p-Si(OPOP)層,其對於該SiO層對比p-Si層具有在大約1 : 2至大約2 : 1之間的選擇性。
  11. 如請求項1至5中任一項所述之方法,其中該含碘蝕刻化合物電漿蝕刻該交替的SiO和p-Si(OPOP)層,其對於該SiO層對比p-Si層具有大約1 : 1的選擇性。
  12. 如請求項1至5中任一項所述之方法,其中該圖案化掩模層係無定形碳層、摻雜無定形碳層、光阻劑層、減反射層、有機平坦化層、以及它們的組合。
  13. 如請求項1所述之方法,其中該經活化的含碘蝕刻化合物從位於待蝕刻的該含矽膜的底部的著陸層選擇性地蝕刻該含矽膜。
  14. 如請求項1或請求項13所述之方法,其中該著陸層係選自以下項的金屬層:W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag或它們的組合。
  15. 如請求項1或請求項13所述之方法,其中該著陸層係選自以下項的金屬氧化物層或金屬氮化物層:AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN或它們的組合。
  16. 如請求項1至5中任一項所述之方法,其中在該含矽膜中所形成的該圖案化結構具有在大約1 : 1與大約200 : 1之間的縱橫比。
  17. 一種在襯底中形成圖案化結構的同時補強和/或增強和/或最小化圖案化掩模層的損害之方法,該方法包括: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在該含矽層上的該圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4;以及 使用藉由活化電漿所形成的經活化的含碘蝕刻化合物將該含矽膜從該圖案化掩模層蝕刻以形成該圖案化結構, 其中該經活化的含碘蝕刻化合物產生碘離子,該碘離子被注入圖案化硬掩模層中,從而增強該圖案化掩模層。
  18. 如請求項17所述之方法,其中該含碘蝕刻化合物係C4 F9 I或其異構物。
  19. 一種在襯底中形成圖案化結構的製程中增加圖案化掩模層的抗蝕刻性之方法,該方法包括: 將含碘蝕刻化合物的蒸氣引入反應腔室中,該反應腔室容納有具有設置在其上的含矽膜和設置在該含矽層上的該圖案化掩模層的襯底,其中該含碘蝕刻化合物具有式Cn Hx Fy Iz ,其中4 ≤ n ≤ 10,0 ≤ x ≤ 21,0 ≤ y ≤ 21,並且1 ≤ z ≤ 4; 活化電漿以產生能夠將碘摻雜進該圖案化掩模層中的經活化的含碘蝕刻化合物;以及 將碘離子從該經活化的含碘蝕刻化合物注入該圖案化掩模層中同時從該圖案化掩模層蝕刻該含矽膜,以形成該圖案化結構, 從而用碘改性該圖案化掩模層以增加該圖案化掩模層的抗蝕刻性。
  20. 如請求項19所述之方法,其中該含碘蝕刻化合物係C4 F9 I或其異構物。
TW110122322A 2020-06-26 2021-06-18 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物 TW202204297A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/913,696 US11798811B2 (en) 2020-06-26 2020-06-26 Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US16/913,696 2020-06-26

Publications (1)

Publication Number Publication Date
TW202204297A true TW202204297A (zh) 2022-02-01

Family

ID=79031416

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110122322A TW202204297A (zh) 2020-06-26 2021-06-18 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物

Country Status (7)

Country Link
US (1) US11798811B2 (zh)
EP (1) EP4189727A1 (zh)
JP (1) JP2023531687A (zh)
KR (1) KR20230019981A (zh)
CN (1) CN116134981A (zh)
TW (1) TW202204297A (zh)
WO (1) WO2021262841A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112640064A (zh) * 2018-08-24 2021-04-09 朗姆研究公司 用于高深宽比蚀刻的含金属钝化
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
JP2022144220A (ja) * 2021-03-18 2022-10-03 キオクシア株式会社 半導体装置の製造方法およびエッチング方法
WO2023244214A1 (en) * 2022-06-14 2023-12-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3559691B2 (ja) 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
KR100727834B1 (ko) * 2000-09-07 2007-06-14 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
TW200735206A (en) 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
TWI437633B (zh) 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
KR20110051197A (ko) * 2008-07-15 2011-05-17 솔베이 플루오르 게엠베하 식각 처리물의 제조 방법
US8623148B2 (en) * 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
US8963156B2 (en) * 2013-02-22 2015-02-24 Micron Technology, Inc. Semiconductor devices including WiSX
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10453751B2 (en) * 2017-02-14 2019-10-22 Globalfoundries Inc. Tone inversion method and structure for selective contact via patterning
KR102623767B1 (ko) * 2017-09-01 2024-01-10 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102165039B1 (ko) * 2019-10-31 2020-10-14 성균관대학교산학협력단 건식 식각 방법 및 이에 사용되는 식각 전구체
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching

Also Published As

Publication number Publication date
EP4189727A1 (en) 2023-06-07
US11798811B2 (en) 2023-10-24
WO2021262841A1 (en) 2021-12-30
JP2023531687A (ja) 2023-07-25
KR20230019981A (ko) 2023-02-09
CN116134981A (zh) 2023-05-16
WO2021262841A8 (en) 2022-12-29
US20210407817A1 (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
WO2018126202A1 (en) Methods for minimizing sidewall damage during low k etch processes
JP2024500969A (ja) 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures