JP2024500969A - 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法 - Google Patents

高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法 Download PDF

Info

Publication number
JP2024500969A
JP2024500969A JP2023538883A JP2023538883A JP2024500969A JP 2024500969 A JP2024500969 A JP 2024500969A JP 2023538883 A JP2023538883 A JP 2023538883A JP 2023538883 A JP2023538883 A JP 2023538883A JP 2024500969 A JP2024500969 A JP 2024500969A
Authority
JP
Japan
Prior art keywords
etching
layer
activated
additive
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023538883A
Other languages
English (en)
Inventor
グオウ,シヤンユー
デイモズ,キーラ
スタフォード,ナサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2024500969A publication Critical patent/JP2024500969A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】 反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR構造を形成する方法が開示され、この方法は、ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に基板を逐次的に又は同時に曝露することであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有すること;プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及びパターン化されたマスク層によって覆われていない膜と、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物との間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成すること;を含む。【選択図】図5

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2020年12月28日出願の米国特許出願第17/135,216号の利益を主張する。
高アスペクト比(HAR)プラズマエッチング中に、Si、C、及び/若しくはヨウ素元素をドーピングすること、並びに/又は環状、芳香族、複素環式の化学構造を導入することにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。
50年以上にわたり、ムーアの法則により、半導体製造業者は、競合他社に対するコスト上の優位性を維持しながらもトランジスタ/チップの速度及び能力を向上させるために、デバイスのフィーチャサイズを小さくし続けている。このことは、フィーチャのどんどん小さくなるサイズと劇的に増加しているアスペクト比の要求にうまく応えるための製造プロセスに新たな課題をもたらしている。例えば、3次元ゲートスタックNANDフラッシュメモリ(3D-NAND)の製造には、アスペクト比が40を超える90+NAND層に小さなホールフィーチャをエッチングする能力が必要とされる。超高アスペクト比(HAR)エッチングを使用して、全てのウエハに1兆個を超えるホールをエッチングする必要がある。
エッチングされたフィーチャの垂直方向の等方性は、プラズマシース形成中のイオン輸送によって得られる。原理的には、正と負の粒子はホール内で同じ軌道を描き、HARホール底部で電荷を均等にするはずである。しかし、電子シェーディング効果のため、HARマスクパターンの底部に電荷が蓄積し、不完全なエッチング、ボーイング、ツイスティング、及びHARスタックの上部と底部の間の限界寸法(CD)のばらつきが生じる可能性がある。そのため、エッチングプロファイルとCD制御を改善するために、HARエッチング中の側壁のチャージアップを除去する又は最小限に抑えるための多くの取り組みが産業界で行われてきており、また継続されている。
コンタクトホールの歪みはコンタクトホール側壁の非対称な帯電によって引き起こされることが知られており、これによってコンタクトホール内の局所的な電場が変化し、コンタクトホール内の反応性イオンの方向が変化する(Kim et al.,J.Vac.Sci.Technol.A,Vol.33,021303-5 (2015)及びNegishi et al,J.Vac.Sci.Technol.B,Vol.35,051205(2017)を参照)。HARエッチングでは、マスクの劣化を評価するために楕円率が使用されてきた。高い(100%に近い)楕円率は、HARホールのねじれを回避し、エッチングプロファイルの歪みを低減するのに役立ち得る。
以下は、HARエッチング中のパッシベーション層の特性を調整するために使用されてきた方法のいくつかの例であるが、当然の結果として、1)プロセスチャンバーへのガス/化学物質の供給設定が複雑になり、2)HARフィーチャの上部及び底部におけるパッシベーション層の均一性が不十分になり、3)チャンバーのクリーニングの問題-チャンバー壁面に若干の金属含有ポリマーが堆積し、これを完全に除去することが困難である、などが生じる。
Sandhuらの米国特許出願公開第20070049018号明細書には、ハードフォトレジストマスクを使用して酸化物層に実質的に垂直なコンタクトホールをHARコンタクトエッチングする方法が開示されている。プラズマエッチングガスは、CH、C;C、C;CHF;C;CHF、CHF、又はそれらの組合せのうちの1つを含むフッ化炭化水素である。ドーパント分子は、HI、CHI、炭素、カリウム、カルシウム、PF、BF、塩化物、AsF、又はそれらの組合せのうちの1つを含む。ドープされたプラズマエッチングガスは、エッチングプロセス中にコンタクトホールの側壁に沿って形成された炭素鎖ポリマーを導電状態にドープすることによって、酸化物層を通る実質的に垂直なコンタクトホールをエッチングする。炭素鎖ポリマーの導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってコンタクトホールのツイスティングが防止され、アクティブエリアのランディング領域との適切なアライメントが確保される。エッチングは下にある基板で停止する。
Beraらの米国特許第7846846B2号明細書には、各コンタクト開口部の側壁に高導電性の薄膜を形成することでエッチングプロファイルのボーイングやベンディングを防止しながら、HARコンタクト開口部をエッチングする方法が開示されている。側壁上の薄膜の導電性は、エッチングプロセス中に周期的に行われるイオン衝撃によって強化される。エッチャントは、C、C、CH、又はC、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せのうちの少なくとも1つを含むフルオロカーボン/フルオロハイドロカーボンガスである。
Nikhilらの米国特許第9543158号明細書には、基板上の誘電体材料に凹状のフィーチャを形成するための様々な方法、装置、及びシステムが開示されている。場合によっては、プラズマ支援原子層堆積、修正プラズマ支援原子層堆積、又はプラズマ支援化学蒸着を使用して保護コーティングが堆積される。エッチング化学物質は、フルオロカーボンと酸素、C、C、N、CO、CF、及びOの組合せである。保護層はセラミック系材料又は有機ポリマーである。酸化ホウ素(BxOy)や窒化ホウ素(BxNy)などのホウ素含有材料については、反応物質としては、限定されないが、ホウ酸トリイソプロピル([(CHCHO]B)、トリメチルホウ素-d(B(CD)などが挙げられる。酸化ケイ素(Si)及び窒化ケイ素(Si)などのケイ素含有材料については、反応物質は、例えばシラン、ハロシラン、又はアミノシランであってよい。
HARエッチングプロセスは、メモリデバイスにとって重要なプロセスとなった。HARフィーチャの実効バイアス電力を増加させることによるイオンエネルギー制御は進歩し続けている。HARホール内のエッチングフロントにおける電荷の蓄積を克服するために、イオンエネルギーを増加させる多大な努力が払われた。過去数年間のバイアス電力の傾向に基づくと、現在必要な電力は20kWを超えるであろう。バイアス電力が増加すると、多くの課題が生じる。アーク放電の防止、効果的な冷却、及び電力供給システムは、全て高出力能力を実現するために重要である。さらに、中性種はホールを介した拡散によってのみ移動するため、アスペクト比が増加すると中性フラックスを補うことが難しくなる。
したがって、バイアス電力を低減しながら高導電性の側壁パッシベーション層を実現することが必要とされている。
反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR構造を形成する方法が開示され、この方法は:
ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に基板を逐次的に又は同時に曝露することであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有すること;
プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物との間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成すること;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される;
・酸化剤がOである;
・酸化剤がOである;
・酸化剤がCOである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び酸素含有ガスを混合して混合物を生成する;
・酸素含有ガスとは別にエッチング化合物及び添加剤を導入する;
・酸素含有ガスを連続的に導入し、ヨウ素含有エッチング化合物を導入する;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約99.9%v/vを占める;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約10%v/vを占める;
・不活性ガスを反応チャンバーに導入するステップを含み、不活性ガスがHe、Ar、Xe、Kr、Ne及びNからなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び不活性ガスを混合して混合物を生成する;
・不活性ガスとは別にエッチング化合物及び添加剤を導入する;
・不活性ガスを連続的に導入し、エッチング化合物及び添加剤をパルスで導入する;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約0.01%v/v~約99.9%v/vを占める;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約90%v/v~約99.9%v/vを占める;
・基板がSiウエハである;
・基板が結晶シリコン層である;
・パターン化された構造を形成する;
・パターン化された構造が3D NANDアパーチャーである;
・パターン化された構造がコンタクトホールである;
・パターン化された構造が3D NANDコンタクトホールである;
・パターン化された構造がDRAMコンタクトである;
・パターン化された構造がチャネルホールである;
・パターン化された構造が3D NANDチャネルホールである;
・パターン化された構造が3D NANDスリットコンタクトである;
・アパーチャーが階段状コンタクトである;
・アパーチャーが自己整合コンタクトである;
・アパーチャーが自己整合ビアである;
・アパーチャーがスーパービアである;
・プラズマ活性化されたフルオロカーボン化合物及び活性化された添加剤化合物が膜と反応して揮発性副生成物を形成する;
・揮発性副生成物が反応チャンバーから除去される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物を用いて形成された高導電性側壁パッシベーション層の導電率が、活性化された添加剤化合物を添加せずに活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物を用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・ハイドロフルオロカーボン又はフルオロカーボン化合物が、CF、CHF、C、C、CHF、C、C、C、C、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せを含む;
・ハイドロフルオロカーボン又はフルオロカーボン化合物がCである;
・添加剤化合物が次の式を有するケイ素、炭素、及び/又はヨウ素の元素を含む:
I、
SiRI、
SiR(2-x)
SiRI(3-y)
SiI(4-z)、又は
(2n+1)
(式中、n=1~10であり;x=1~2であり;y=1~3であり;z=1~3であり;R、R、R、及びRは、それぞれ独立して、H、C~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択され;RとR、RとR、又はRとRは連結して環状基を形成していてもよい);
・添加剤化合物が以下から選択される
・上の開示された添加剤CRIが以下を含む
・上の開示された添加剤SiRIが以下を含む
・上の開示された添加剤SiR(2-x)が以下を含む
・上の開示された添加剤SiRI(3-y)が以下を含む
・上の開示された添加剤SiI(4-z)が以下を含む
・開示された添加剤C(2n+1)Iが以下を含む
・添加剤化合物が以下から選択される
ヨードメタンCHI(CAS No.:74-88-4)、
ヨードベンゼンCI(CAS No.:591-50-4)、
2-ヨードプロパンCI(CAS No.:75-30-9)、
1-ヨードプロパンCI(CAS No.:107-08-4)、
1-ヨードエタンCI(CAS No.:75-03-6)、
ヨウ化ペルフルオロブチルCI(CAS No.:423-39-2)、
ジフルオロヨードメタンCHIF(CAS No.:1493-03-4)、
ジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS No.:36972-59-5)、
1-(ジフルオロヨードシリル)-2-メチルベンゼンCISi(CAS No.:174711-76-3)、
ジフルオロヨード(トリフルオロメチル)シランCFISi(CAS No.:27668-68-4)、
トリエチルヨードシランC15ISi(CAS No.:1112-49-8)、
フルオロトリヨードシランFISi(CAS No.:16865-60-4)、
ビフルオロビオヨードシランFSi(CAS No.:27669-15-4)、
トリフルオロヨードシランFISi(CAS No.:27668-68-4)、
ヨードトリメチルシランCISi(CAS No.:16029-98-4)、又は
ジヨードシランSiH(CAS番号:13760-02-6);
・添加剤化合物がヨードメタンCHI(CAS番号:74-88-4)である;
・添加剤化合物がヨードベンゼンCI(CAS番号:591-50-4)である;
・添加剤化合物が2-ヨードプロパンCI(CAS番号:75-30-9)である;
・添加剤化合物が1-ヨードプロパンCI(CAS番号:107-08-4)である;
・添加剤化合物が1-ヨードエタンCI(CAS番号:75-03-6)である;
・添加剤化合物がヨウ化ペルフルオロブチルCI(CAS番号:423-39-2)である;
・添加剤化合物がジフルオロヨードメタンCHIF(CAS No.:1493-03-4)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS番号:36972-59-5)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS番号:36972-59-5)である;
・添加剤化合物が1-(ジフルオロヨードシリル)-2-メチル-ベンゼンCISi(CAS番号:174711-76-3)である;
・添加剤化合物がジフルオロヨード(トリフルオロメチル)シランCFISi(CAS番号:27668-68-4)である;
・添加剤化合物がトリエチルヨードシランC15ISi(CAS番号:1112-49-8)である;
・添加剤化合物がフルオロトリヨードシランFISi(CAS番号:16865-60-4)である;
・添加剤化合物がビフルオロビオヨードシランFSi(CAS番号:27669-15-4)である;
・添加剤化合物がトリフルオロヨードシランFISi(CAS番号:27668-68-4)である;
・添加剤化合物がヨードトリメチルシランCISi(CAS番号:16029-98-4)である;
・添加剤化合物がジヨードシランSiH(CAS番号:13760-02-6)である;
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である;
・膜がOを含むケイ素含有膜である;
・膜がNを含むケイ素含有膜である;
・膜が、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを任意選択的に含むケイ素含有膜である;
・ケイ素含有膜が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si)、多結晶シリコン、非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(a>0;b、c、d、e≧0)、交互のSiOとSiN(ONON)の層、交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜が酸素、窒素、炭素、水素、又はそれらの組合せを含む;
・ケイ素含有膜がSiOであり、xは0~2の範囲であり、yは0~4の範囲であり、zは0~約1の範囲であり、kは0~1の範囲である;
・ケイ素含有膜がSiO層を含む;
・ケイ素含有膜がSiN層である;
・ケイ素含有膜が交互のSiOとSiN(ONON)の層を含む;
・ケイ素含有膜が交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜がB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGeなどのドーパントを含む;
・交互の層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si(a>0;b、c、d、e≧0)、又はそれらの組合せの層を含む;
・交互の層が、酸素原子、窒素原子、炭素原子、水素原子、又はそれらの組合せを含む;
・交互の層がケイ素含有膜である;
・交互の層が酸化ケイ素の層と窒化ケイ素の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層である;
・交互の層が酸化ケイ素の層とポリシリコンの層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層である;
・交互の層がハードマスク層から選択的にエッチングされる;
・交互の層がa-C層から選択的にエッチングされる;
・交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素層がハードマスク層から選択的にエッチングされる;
・酸化ケイ素層がa-C層から選択的にエッチングされる;
・酸化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・窒化ケイ素層がハードマスク層から選択的にエッチングされる;
・窒化ケイ素層がa-C層から選択的にエッチングされる;
・窒化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・ポリシリコン層がハードマスク層から選択的にエッチングされる;
・ポリシリコン層がa-C層から選択的にエッチングされる;
・ポリシリコン層がドープされたカーボン層から選択的にエッチングされる;
・ケイ素含有膜が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・酸化ケイ素層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・エッチング化合物が、酸化ケイ素層と窒化ケイ素層の両方を高いエッチング速度でエッチングする;
・パターン化されたマスク層が、a-C層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、ポリSi層、金属酸化物層、例えばTi、Al、Zr、Hfなどの酸化物、及びそれらの組合せである;
・ケイ素含有層上にハードマスク層が配置される;
・ハードマスク層がパターン化されたハードマスク層である;
・ハードマスク層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、有機平坦化層、又はそれらの組合せである;
・ハードマスク層が、CVD、PECVD、ALD、PEALD、又はスピンオン堆積(SOD)非晶質カーボン又はドープ非晶質カーボン、ケイ素含有スピンオンマスク、又は炭素含有スピンオンマスクの層である;
・ハードマスク層が非晶質カーボン(a-C)層である;
・ハードマスク層がドープされたカーボン層である;
・ドープされた非晶質カーボン層が、ホウ素がドープされたa-C層である;
・ドープされた非晶質カーボン層が、タングステンがドープされたa-C層である;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約1:1~約20:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約60:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約61:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)及びそれらの組合せからなる群からなる群から選択される;
・エッチング化合物及び添加剤を追加のエッチングガスとは別に導入する;
・約0.01%v/v~約99.99%v/vの追加のエッチングガスをエッチング化合物と添加剤に添加する;
・RF電力を印加してプラズマを活性化する;
・約25W~約100,000Wの範囲のRF電力によってプラズマを活性化する;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤なしのエッチングと比較してバイアス電力が低い;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤を使用しない場合よりもバイアス電力が少なくとも約10%低い;
・エッチング圧力が約1mTorr~約100Torrの範囲である;
・エッチング圧力が約1mTorr~約50Torrの範囲である;
・エッチング圧力が約1mTorr~約10Torrの範囲である;
・エッチング圧力が約1mTorr~約50mTorrの範囲である;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物及び添加剤の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量で添加剤の蒸気を導入する;
・基板を約-100℃~約500℃の範囲の温度に維持する;
・基板を約20℃~約150℃の範囲の温度に維持する;
・基板を約20℃~約110℃の範囲の温度に維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR、又は他のラジカル/イオン測定ツールによって、プラズマ下でエッチング化合物を測定する。
また、HARパターン化構造を形成する方法も開示され、この方法は:
基板をC及びCHIの蒸気に逐次的に又は同時に曝露するステップであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有するステップ;
プラズマを活性化して、活性化されたCとCHIとを生成するステップ;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたC又はCHIとの間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成するステップ;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される;
・不活性ガスを反応チャンバーに導入するステップをさらに含み、不活性ガスがHe、Ar、Xe、Kr、Ne、及びNからなる群から選択される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたC及び活性化されたCHIを用いて形成された高導電性側壁パッシベーション層の導電率が、活性化されたCHIを添加せずに活性化されたCを用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)及びそれらの組合せからなる群から選択される;そして
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である。
表示法及び命名法
以下の詳細な説明及び特許請求の範囲では、一般に、当該技術において周知である多数の略語、記号、及び用語が利用され、以下のものが含まれる:
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載された値の±10%を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「室温」は、約20℃~約25℃を意味する。
「ウエハ」又は「パターン化されたウエハ」という用語は、基板上のケイ素含有膜を含む任意の既存の膜のスタックと、パターンエッチングのために形成されたケイ素含有膜を含む任意の既存の膜のスタック上のパターン化されたハードマスク層とを有するウエハを指す。
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でエッチングプロセスが行われる1つ以上の材料を有するウエハ又はパターン化されたウエハを指す場合がある。基板は、半導体、太陽光発電、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウエハであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウエハは、シリコン層(例えば結晶性、非晶質、多孔性など)、ケイ素含有層(例えばSiO、SiN、SiON、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組合せを含み得る。さらに、基板は平坦であっても、又はパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)、電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)、又はCMOS系において将来シリコンに代わる有力な候補である金属含有若しくは金属合金ベースの膜(例えばInGaAs、In(x=0.5~1.5、y=0.5~1.5)、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、In、又はIn(OH)など)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上の関連する層は、基板と呼ばれる。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、パターン化されたハードマスク層の下の、ケイ素含有膜のスタックなどの非平坦構造をエッチングすることを指す。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、エッチング化合物及び/又はプラズマを使用して、イオン衝撃、リモートプラズマ、又はエッチングガスと基板との間の化学気相反応によって材料を除去することを意味し、等方性エッチングプロセス及び/又は異方性エッチングプロセスを指す。等方性エッチングプロセスは、エッチング化合物と基板との間の化学反応を伴い、基板上の材料の一部が除去される。このタイプのエッチングプロセスには、ケミカルドライエッチング、気相ケミカルエッチング、サーマルドライエッチングなどが含まれる。等方性エッチングプロセスは、基板に横方向又は水平方向のエッチングプロファイルを生成する。等方性エッチングプロセスは、基板に予め形成されたアパーチャーの側壁にリセス又は水平方向のリセスを生成する。異方性エッチングプロセスには、イオン衝撃によって化学反応が垂直方向に加速され、その結果マスクされたフィーチャのエッジに沿って基板に対して直角に垂直な側壁が形成されるプラズマエッチングプロセス(すなわちドライエッチングプロセス)が含まれる(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチングプロセスは、基板に垂直エッチングプロファイルを生成する。プラズマエッチングプロセスは、基板に、垂直ビア、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、スリットエッチング、自己整合コンタクト、自己整合ビア、スーパービアなどを生成する。
「マスク」という用語は、エッチングに抵抗する層を指す。マスク層は、エッチングされる層の上に配置することができる。マスク層は、ハードマスク層も指す。マスク層は、非晶質カーボン(a-C)層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、及びそれらの組合せであってよい。マスク層は、ポリSiなどのシリコン層、Ti、Al、Zr、Hfなどの金属酸化物、酸化物、及びそれらの組合せであってもよい。
「アスペクト比」という用語は、トレンチの幅(又はアパーチャの直径)に対するトレンチ(又はアパーチャ)の高さの比率を意味する。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下の層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「ビア」、「アパーチャー」、「トレンチ」、及び「ホール」という用語は、互換的に使用される場合があり、一般的に層間絶縁体の開口部を意味する。
「低バイアス電力」又は「低減されたバイアス電力」という用語は、ベースラインプロセスよりも低いバイアス電力を指す。
本明細書で使用される「添加剤」という用語は、他のエッチング化合物に添加され、ボーイング、CD、楕円率などのプロファイル特性の改善のような、エッチングの特性をある程度改善する化合物又はガスを指す。
本明細書で使用される「楕円率」という用語は、マスクの劣化を測定する方法を指し、エッチングの用途では、エッチングされたホールの楕円率は、単純化するために(短いホールの幅/長いホールの幅)*100%によって推定される;したがって、完全な円形の楕円率は100%として定義された。
本明細書で使用される「NAND」という略語は、「Negated AND」又は「Not AND」ゲートを指し、「2D」という略語は、平坦基板上の2次元ゲート構造を指し、「3D」という略語は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を指す。
本明細書で使用される「水銀プローブ」という用語は、電気的特性評価のためにサンプルに迅速且つ非破壊的に接触するための電気プローブデバイスを指す。水銀とサンプルの接触がオーム性(非整流)である場合、抵抗、漏れ電流、又は電流-電圧特性を測定するために電流-電圧計測器を使用することができる。抵抗はバルクサンプル又は薄膜で測定することができる。薄膜は、水銀と反応しない任意の材料から構成することができる。本明細書で使用される水銀プローブの水銀接点の直径は760umである。
本明細書で使用される「導電率」という用語は、電気抵抗率の逆数であり、材料が電流を流す能力を表す。本明細書で使用される電気伝導率の単位は、ジーメンス毎センチメートル(S/cm)である。これは水銀プローブを使用して測定され、
の解を使用して0.2MV/cmの電場での電流-電圧曲線から計算される。式中、σは導電率であり、Iは水銀プローブによって測定された電流であり、Tはポリマーの厚さであり、Aは水銀プローブの接触面積である。電場は、印加電圧をポリマーの厚さで割ったものとして定義される。例えば、図10では、電場が0.2MV/cmである場合、測定された電流は1.92×10-11Ampである。C4F8ポリマーの導電率は2.14×10-9S/cmと計算される。
本明細書で使用される「高導電性側壁パッシベーション層」という用語は、Cポリマーの導電率を超える側壁パッシベーション層の電気伝導率を指し、2.14×10-9S/cmとして計算される。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
なお、本明細書においては、「エッチング化合物」、「エッチャント」、「エッチングガス」、及び「プロセスガス」という用語は、エッチング化合物が室温且つ周囲圧力で気体状態にある場合には、互換的に使用され得る。エッチング化合物は、エッチングガス又はエッチャント又はプロセスガスに対応又は関連し得ること、及びエッチングガス又はエッチャント又はプロセスガスがエッチング化合物を指し得ることが理解される。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p-Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1~6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5~1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書では、以下の説明におけるSiNは、Si含有層を表すために使用される場合がある。好ましくは、酸化ケイ素は、nが0.5~1.5の範囲であり、かつmが1.5~3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すために使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1~6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなど、及びそれらの組合せのドーパントも含み得る。
R基を説明する文脈で使用される場合の「独立して」という用語は、対象のR基が、同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同じR基の任意の追加の種に対しても独立して選択されることを意味すると理解されるべきである。例えば、式MR (NR(4-x)(Mは原子であり、xは2又は3である)において、2つ又は3つのR基は、互いに、又はR若しくはRと同じであってもよいが、必ずしも同一である必要はない。さらに、別段の明記がない限り、R基の値は、異なる式で使用される場合に互いに独立であることが理解されるべきである。
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内の全ての組合せとともに、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしも全てが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実装」という用語にも当てはまる。
本発明の性質及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
図1は、平坦ウエハ上で測定された、添加剤CHIあり又はなしでのCの導電率である。 図2は、平坦ウエハ上で測定された、添加剤CIあり又はなしでのCの導電率である。 図3は、平坦ウエハ上で測定された、添加剤SiHあり又はなしでのCの導電率である。 図4は、それぞれ添加剤CHIあり又はなしでのCのER及び選択率の比較である。 図5は、添加剤CHIあり又はなしでのCの側壁のボーイングの比較である。 図6は、添加剤CHIあり又はなしでの楕円率の比較である。 図7は、7000Wのバイアス電力での、CHI添加剤あり又はなしのCの限界寸法(CD)の比較である。 図8は、5600Wのバイアス電力での、CHI添加剤あり又はなしのCのCDの比較である。 図9は、4200Wのバイアス電力での、CHI添加剤あり又はなしのCのCDの比較である。 図10は、様々なポリマーの化学組成及びポリマーの電気伝導率である。
高アスペクト比(HAR)プラズマエッチングプロセスにおいて、Si、C、及び/又はヨウ素元素をドーピングすることにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。開示された方法は、Si、C、及び/又はヨウ素元素をドーピングすることによって高導電性側壁パッシベーション層を形成するために、HARプラズマエッチングプロセスにおいて添加剤又は添加剤化学物質を使用する。高導電性側壁パッシベーション層はポリマーパッシベーション層であってよい。ポリマーパッシベーション層の導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってホールなどのHAR構造のツイスティングが防止され、バイアス電力が低いレベル~ない状態での限界寸法(CD)変動の適切な制御が確保される。添加剤を利用することにより、バイアス電力は、添加剤の使用なしの場合と比較して少なくとも約10%低くなる場合があり、さらにはバイアス電力が不要になる。
側壁電荷が最小限に抑えられると、反応性イオンがHARトレンチの底部に到達するのに必要なプラズマバイアス電力が少なくなるため、開示された方法は、低バイアスエネルギープラズマエッチングとして考えることもできる。加えて、開示された添加剤を含むエッチングガス又は処理ガスは、洗浄が困難な元素を含まず、このことにより反応チャンバーの汚染も最小限に抑えられ、ツールのメンテナンス/ダウンタイムも減らされる。
開示された方法は、ハイドロフルオロカーボンエッチャントガス及び添加剤ガスを使用して、所定の時間、低減されたバイアス電力下で、パターン化されたウエハ又は基板をプラズマエッチングすることに関する。所定時間は、プラズマを活性化する前にチャンバー内の圧力及びガス流を安定させるために、0秒から1000秒の範囲とすることができる。
開示された添加剤又は添加剤化学物質は、次の式を有するSi、C、及び/又はヨウ素の元素を含む:
CRI、
SiRI、
SiR(2-x)
SiRI(3-y)
SiI(4-z)、又は
(2n+1)
(式中、x=1~2であり;y=1~3であり;z=1~4であり;R、R、R、及びRは、それぞれ独立して、H、D(重水素)、C~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択される)。RとR、RとR、又はRとRは連結して環状基を形成していてもよい。
上の開示された添加剤CRIは以下を含み得る。
上の開示された添加剤SiRIは以下を含み得る。
上の開示された添加剤SiR(2-x)は以下を含み得る。
上の開示された添加剤SiRI(3-y)は以下を含み得る。
上の開示された添加剤SiI(4-z)は以下を含み得る。
開示された添加剤C(2n+1)Iは以下を含み得る。
Si、C、及び/又はヨウ素の元素を含む例示的な開示された添加剤を表1に列挙する。これらの分子は市販されており、或いは当該技術分野で公知の方法によって合成することができる。それらの構造式、CAS番号、及び沸点を表に示す。Si、C、及び/又はヨウ素の元素を含有する開示された添加剤には、それらの異性体も含まれ得る。
エッチングガスには高い揮発性が好ましいため、添加剤化学物質にも高い揮発性が必要とされる。上述したように、小さなアルキル基が添加剤のケイ素上の置換基として使用され、これにより開示された添加剤は高い揮発性を有する。アルキル置換基を使用することによってもたらされるもう1つの利点は、不十分な炭素脱離基の生成により側壁のパッシベーションに炭素が組み込まれる可能性が高まることである。側壁のパッシベーションに芳香族基を含めることで導電性が向上させることができるため、ケイ素上で芳香族置換基を使用することも新しい分子で提案されている。芳香族環のエレクトロニクスを導電性が変化させ得るため、芳香族基上の置換も興味深い。しかしながら、当業者であれば、低揮発性のエッチング材料も使用できることを理解するであろう。低揮発性エッチング材料は、低揮発性エッチング材料とエッチングツールに接続するガスラインとが入っている容器又はシリンダーを加熱すること、不活性ガスが液体の低揮発性エッチング材料を通して吹き込まれるバブラー法を使用することなどを含む、低揮発性エッチング材料の供給源を加熱して揮発性を高めるなど、様々な方法によって使用することができる。
開示された添加剤は、高アスペクト比のホール/トレンチの側壁に形成されるパッシベーション層の特性の調整に適している。側壁のパッシベーションと下方へのエッチングは同時に起こる。パッシベーション層は、プラズマエッチングガス中の炭素源、エッチングガスと曝露される材料との間の反応、又はエッチングプロセスからの副生成物の再堆積に由来し得る。エッチャントへの添加剤は、導電性元素及び/又は化学結合を導入することによって側壁パッシベーションの化学組成に大きく影響し、それによって側壁パッシベーションの導電率にプラスの影響を与える。プラズマエッチングの過程で、構造の底部の電位は正に帯電する一方で、側壁は負に帯電するため、構造内に望ましくない局所的な電場が形成される。局所的な電場に沿った電位差よりも大きなエネルギーを持つ高エネルギーイオンのみが底部に到達することができる。側壁のパッシベーションの導電率が増加するのに伴い、側壁の電荷は急速に消散する。必要なバイアス電力はベースラインプロセスを下回る。
開示されたエッチングガスは、フルオロカーボン/ハイドロフルオロカーボンであってよい。例示的な開示されたフルオロカーボン/ハイドロフルオロカーボンとしては、CF、CHF、C、C、CHF、C、C、C、C、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せが挙げられる。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、酸化ケイ素(SiO)、窒化ケイ素(SiN)、純シリコン(Si)(結晶Siなど)、ポリシリコン(p-Si又は多結晶Si);非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(a>0;b、c、d、e≧0);金属含有膜(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)などの層を含むケイ素含有膜のエッチングに適している。ケイ素含有膜は、SiOとSiNの交互の層(ONON)、SiOとp-Siの交互の層(OPOP)を含んでいてもよい。ケイ素含有膜は、O及び/又はNを含む。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントも含み得る。
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppm未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、10%v/v未満、好ましくは1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、且つより良好なプロセス繰返し性を提供し得る。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、ほとんどの用途でエッチングされる構造の底部に位置する金属層である埋め込み型ランディング層又は材料からケイ素含有層を選択的にエッチングする。開示されたフルオロカーボン/ハイドロフルオロカーボンは、金属ランディング層をエッチングしない。埋め込み型ランディング層は、エッチング停止層又は拡散バリア層であってもよい。金属ランディング層の材料は、3D NAND構造のタングステン金属ワールドライン、及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属、及び/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN又はそれらの組合せなど)であってよい。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、基材上にケイ素含有膜をプラズマエッチングするために使用され得る。開示されたプラズマエッチング法は、NAND又は3D NANDゲートなどの半導体デバイス、或いはフィン型電界効果トランジスタ(fin-shaped field-effect transistor)(FinFET)、ゲートオールアラウンド(GAA)FET、ナノワイヤFET、ナノシートFET、フォークシートFET、相補型FET(CFET)、バルク相補型金属酸化物半導体(バルクCMOS)、MOSFET、完全空乏シリコンオンインシュレータ(FD-SOI)構造などのフラッシュ又はDRAMメモリ又はトランジスタの製造において有用であり得る。開示されたヨウ素含有エッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング用途などの他の領域の用途において有用であり得る。さらに、開示されたヨウ素含有エッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon via)(TSV)エッチング用途においてSiをエッチングするために、及びMEMS用途において使用され得る。
開示されたエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源によるCCP、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されているApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されているLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統、又はAdvanced Micro-Fabrication Equipment Inc.China(AMEC)Primo SSC HD-RIEエッチャーが含まれる。それらにおけるRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するためにパルスであってもよい。
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm~450mmの直径を有する1~200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD-TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge、SiGe、GeSn、InGaAs、GaSb、InP又はGaAsウエハなどのウエハが含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、シリコン(非晶質シリコン、p-Si、結晶シリコン、これらのいずれもB、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeによってさらにp-ドープ又はn-ドープされていてもよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0であり;b、c、d、e≧0である)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;ドーパントを含む又は含まない非晶質炭素、反射防止コーティング、フォトレジスト材料、金属酸化物、例えばAlO、TiO、HfO、ZrO、SnO、TaO、又は金属窒化物層、例えばAlN、ZrN、SnN、HfN、窒化チタン、窒化タンタルなど、又はそれらの組合せなどのマスク層材料;窒化シリコン、ポリシリコン、結晶シリコン、炭化ケイ素、SiON、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば結晶シリコン、エピタキシャルシリコン、ドープされたシリコン、Si(式中、a>0であり;b、c、d、e≧0である)又はそれらの組合せなどのエッチング停止層材料;が挙げられる。a-C(非晶質カーボン)は、PE-CVDプロセスを使用して堆積されたカーボン膜である。組成は主に炭素であり、若干の水素成分を含む。ドープされたa-Cは、堆積プロセス中にドーパントが追加的に堆積される非晶質カーボン膜である。ドーパントには、ホウ素、ジルコニウム、アルミニウム、チタン、タングステンが含まれ得る。炭素膜は、PE-CVDプロセスとは対照的に、スピンオンプロセスを使用して堆積することもできる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
開示されたエッチング方法は、基板をチャンバー内に配置した後、開示されたフルオロカーボン/ハイドロフルオロカーボンをチャンバー内に導入する前に、反応チャンバーを高真空までポンプで排気することを含む。高真空は0.01mTorr~10mTorrの範囲とすることができる。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N2、He又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v~約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたエッチングガスの蒸気及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W~約100,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
開示されたエッチングガス及び添加剤は、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガス又は共反応剤と混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、ハイドロフルオロカーボンエッチング化合物及び添加剤の蒸気は、2種以上のガスが反応するか又は独立して送達するのがより容易である場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、ハイドロフルオロカーボンエッチングガス及び添加剤ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の代替形態では、ハイドロフルオロカーボンエッチングガス、添加剤ガス、及び不活性ガスが、エッチングプロセス中に使用されるただ3種のみのガスである。
模範的な他のガス又は共反応剤としては、限定されないが、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO及びそれらの組合せなどの酸化剤が含まれる。開示されたエッチングガス/添加剤及び酸化剤は、反応チャンバー中に導入される前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、かつエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v~約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスが使用され得る他の例示的なガスとしては、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、HS、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)又はそれらの組合せが挙げられる。例えば、約1%v/v~約25%v/vの開示されたヨウ素含有エッチング化合物が使用され得、残りはC又はcCである。以下の実施例に示されるように、開示されたヨウ素含有エッチング化合物と従来のエッチングガスとの組合せによって、基材と、開示されたヨウ素含有エッチング化合物と関連してエッチングされる層との間のより高い選択性を維持しながら、増加したエッチング速度をもたらし得る。
開示されたエッチング化合物及び/又は添加剤の蒸気は、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。蒸気は、それぞれ約0.1sccm~約1slmの範囲の流量においてチャンバーに導入され得る。例えば、200mmのウエハサイズに関して、蒸気は約5sccm~約50sccmの範囲の流量においてチャンバーに導入され得る。代わりに、450mmのウエハサイズに関して、蒸気は約25sccm~約250sccmの範囲の流量においてチャンバーに導入され得る。当業者は、流量がツールによって変動し得ることを認識するであろう。開示されたエッチング化合物及び/又は添加剤の蒸気は、予め混合して、又は別々に反応チャンバーに導入することができる。
開示されたエッチング化合物及び/又は添加剤は、そのままの形態で、又はエチルベンゼン、キシレン、メシチレン、デカン、若しくはドデカンなどの適切な溶媒とのブレンドのいずれかで供給され得る。開示された化合物及び添加剤は、溶媒中に様々な濃度で存在し得る。開示された化合物及び添加剤の蒸気形態は、直接蒸発又はバブリングなどの従来の蒸発ステップを介して、開示された化合物及び添加剤のそのままの又はブレンドされた溶液を蒸発させることによって生成することができる。そのままの、又はブレンドされた開示された化合物及び添加剤は、それを反応器中に導入する前にそれを蒸発させる蒸発器へと液体状態で供給され得る。代わりに、そのままの、又はブレンドされた開示された化合物及び添加剤は、開示された化合物及び添加剤を含有する容器中にキャリアガスを通過させることによって、又は開示された化合物及び添加剤中にキャリアガスをバブリングすることによって蒸発され得る。キャリアガスとしては、限定されないが、Ar、He、N、Xe、Kr、Ne、及びそれらの混合物が含まれ挙げられ得る。その後、キャリアガス及び開示された化合物及び添加剤が蒸気として反応器中に導入される。
必要であれば、開示された化合物及び添加剤が液体相となり、且つ十分な蒸気圧を有することができる温度まで、開示された化合物及び添加剤が入っている容器を加熱してもよい。容器は、例えば、約0℃~約150℃の範囲の温度に維持されてもよい。バブラーからエッチングツールまでのラインも、容器の温度以上の温度に維持され得る。当業者は、容器の温度が、蒸発させる開示された化合物及び添加剤の量を制御するために公知の様式で調整され得ることを認識している。
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスの蒸気並びに追加のエッチングガスは、反応チャンバーに導入される前に混合され得る。追加のエッチングガスは、チャンバーに導入される混合物の約0.01%v/v~約99.99%v/vを占めることができる。
開示されたエッチングガスの蒸気と、不活性ガスや共反応物質などの追加のガスは、プラズマによって活性化されて、活性化されたエッチングガスを生成する。プラズマによってエッチングガスはラジカル形態又はイオン(すなわち活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を印加することによって発生させてもよい。プラズマは、デカップルドプラズマエッチング反応器内で約25W~約100,000Wの範囲のRFソース電力によって発生させることができる。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、限定されないが、両電極において印加されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基板における反応を制御するために、プラズマRFパルスをさらにバイアス電力として使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
開示された添加剤はハイドロフルオロカーボン又はフルオロカーボンと共に使用されるため、添加剤なしのエッチングと比較してバイアス電力が低くなり得る。開示された方法では、添加剤を利用することにより、以下の実施例から、バイアス電力は、添加剤を使用しない場合よりも少なくとも約10%低くなり得る。
高アスペクト比のパターン化された構造上に高導電性側壁パッシベーション層を形成する開示された方法は、i)基板を含む反応器にエッチャントの蒸気を導入すること;ii)ソース電力を印加することにより、反応器内でエッチャントからイオンプラズマを形成すること;iii)基板上のパターン化されたマスク層によって覆われていない基板の部分が選択的にエッチング除去され、高アスペクト比のパターン化された構造が形成されるように、低減されたバイアス電力を印加することによってイオンを基板に向かって拡散させること;並びにiv)高アスペクト比のパターン化された構造の側壁上に高導電性側壁パッシベーション層が形成されるまで、所定の時間プロセスを実行し続けてから、ソース電力と低バイアス電力をオフにすること;を含む。
ここで、基板は、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有する。エッチャントは、ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含む。エッチャントは、共反応物質及び/又は不活性ガスも含み得る。エッチャントが反応器に導入された後、エッチャントは平衡に到達するまで放置される。低減されたバイアス電力は、エッチャントへの添加剤の添加なしよりも少なくとも10%低くなり得る。所定時間は1秒~1000秒の範囲である。ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物の比率は、側壁面の新たに形成された部分が不動態化され、それ以上のエッチングから保護されるように選択される。反応器に導入されるハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物との比率は、流量によって1:99から99:1まで変化し得る。
反応器にエッチャントを導入する前に、反応器は0.001mTorr~100mTorrの範囲の高真空までポンプで真空引きされ、エッチングプロセスの後、反応器は不活性ガスによってパージされ得る。
反応チャンバーの温度は、基板ホルダーの温度を制御することによって、又は反応器壁の温度を制御することによって制御することができる。基板を加熱するために使用される装置は当該技術分野で公知である。反応器壁は、特に基板温度が壁の温度よりも高いシャワーヘッド反応器が使用される場合、壁又は反応器チャンバーでの凝縮を防ぐのに十分な温度まで加熱される。反応器壁を加熱することができる非限定的な例示的な温度範囲には、約-100℃(LN温度)~約500℃、好ましくは約20℃~約150℃、より好ましくは20℃~約110℃の範囲が含まれる。
反応チャンバー内の圧力は、パターン化されたマスク層によって覆われていない基板の部分のエッチャント又はプロセスガスに適した条件に維持される。ここで、エッチャント又はプロセスガスは、ハイドロフルオロカーボン又はフルオロカーボンのエッチングガス、添加剤、共反応物質などを含み得る。例えば、反応器内の圧力は、約1mTorr~約100Torr、好ましくは約1mTorr~約50Torr、より好ましくは約1mTorr~約10Torr、さらにより好ましくは約1mTorr~約50mTorrに保持され得る。
エッチング条件は、エッチングプロセス中に変化し得る。例えば、ガス流量、プラズマ出力、圧力、温度などのパラメータは、ホール又はトレンチの底部に近いエッチングの終了部分と比較して、エッチングの開始部分の間に高くても低くてもよい。或いは、ポリマーの堆積速度を低下又は向上させるなど、性能を改善するために、エッチングの異なる時点で異なるエッチングガスが添加されてもよい。
開示されたエッチング方法は、マスク層、フォトレジスト、エッチストップ層及びデバイスチャネル材料に対して高い選択率を提供し得、且つDRAM及び3D NAND構造などの1:1~200:1の範囲のアスペクト比を有するものなどのHAR構造並びにコンタクトエッチング用途におけるプロファイル歪みを提供し得ない。或いは、1:1~20:1の範囲のアスペクト比、及び21:1~200:1の範囲のアスペクト比である。開示されたエッチング方法は、1:1~200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。或いは、開示されたエッチング方法は、約1:1~約20:1のアスペクト比、約21:1~約200:1の間のアスペクト比、約1:1~約60:1のアスペクト比、又は約61:1~約200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。
以下の実施例では、市販のLAMツール4520XLe200mm(CCPデュアル周波数プラズマ)を用いて、或いは市販のAMEC300mmPrimo SSC HD-RIEエッチャーを用いて実験を行った。再現性を証明するために、各エッチング試験を少なくとも3回繰り返した。3回の測定の平均の標準偏差は、チャート中のエラーバーとして示されている。その後、ポリマーの組成をX線光電子分光法(XPS)によって調べた。
実施例1:ポリマーの電気伝導率の測定
図1~図3は、それぞれ平坦ウエハ上で測定された、添加剤CHI、CI、又はSiHあり又はなしでのCの導電率である。電流(I)-電圧(V)は水銀プローブを使用して測定した。接触抵抗を改善するためにウエハの裏面に脱イオン水を一滴加えた。これは低い電圧における測定ノイズの低減に役立ち得る。同じ電場強度の下では、ハイドロフルオロカーボンにヨウ素分子を添加すると、ポリマーを介して測定電流が増加し、絶縁破壊電圧が低くなる。添加剤ありでのCの導電率は、添加剤がないCの導電率と比較して増加する。図1~図3の電流差を参照のこと。表1に示されているように、導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場での導電率の増加は>10%であった。
実施例2:CHIを添加剤として使用したONONホールパターンエッチング
CHIは、平坦薄膜上での有望な性能(a-Cマスクに対するより高い選択率及びポリマー導電率の増加)のため、パターン化されたウエハ又は基板上のONON(すなわちSiO/SiNの交互の層)ホールパターンエッチング用のCを含むエッチングレシピに添加された。パターン化されたウエハは、上にホールパターン化された非晶質カーボン(a-C)マスク層が堆積されたONON層を有する。エッチングレシピはOも含む場合がある。
予備スクリーニングのために4つの条件、30/10sccm、35/5sccm、40/5sccm、及び40/10sccmのC/CHI流量を試験した。30/10sccmと35/5sccmは同じ総ガス流量である。CHIは非常に重合性が高いため、CHI流量が10sccm以上の場合にエッチストップがSEM画像で観察された。40/5sccmの条件ではエッチング選択率の改善が示されるものの、さらなるエッチングレシピの調整が必要である。SEM条件はフローの通りである。Accel.電圧:5.0kV;エミッション電流:20μA;倍率:×30.0k。
CHIは非常に重合性が高く、パターン化されたマスク層を詰まらせる可能性があり、ONONエッチング組成物に添加される流量が10sccm以上ではさらにエッチストップを引き起こす可能性がある。CHIをエッチングレシピに追加すると、O流量のプロセスウインドウが68sccmから74~76sccmにシフトする。最適化されたCHIレシピの場合:ONONエッチング速度(ER):510nm/分(CHIなし、測定されたONON ERは516nm/分である);ONON/a-C選択率:11.2~12(CHIなし、ONON/a-C選択率は11.4~12である)。図4~図9は、それぞれ添加剤CHIあり又はなしでのCのバイアス電力の違いによるER、選択率、側壁のボーイング、楕円率、限界寸法(CD)の比較である。全体として、CHIありのレシピは、CHIなしのレシピと比較して、バイアス電力への依存性が低いことを示している(ONONエッチング速度、選択率、ボーイング、楕円率、プロファイルCD)。
より具体的には、図6に示されているように、7000Wのバイアス電力では、レシピにCHIを加えてもa-Cマスクホールの有意な楕円率の変化は示されず、測定された楕円率は、CHIなしのレシピでは93%、CHIありのレシピでは94%であった。5600Wのバイアス電力では、CHIなしのレシピではバイアス電力の低下に伴ってa-Cマスクプロファイルが劣化する一方で、CHIありのレシピでは影響があまり観察されなかった。4200Wのバイアス電力では、CHIありのレシピでは、ER、選択率、a-Cマスクの楕円率、及び傾斜角などのエッチング性能の向上が示されている。表2にエッチング性能をまとめた。
まとめると、添加剤CHIを用いると、HARフィーチャのエッチフロント及び側壁上の表面パッシベーション(別名ポリマー層)が改善され、パッシベーションはプラズマエッチング条件(RF電力、プロセス時間など)の変動に応じて変化する。さらに、ハイドロフルオロカーボンエッチングガスに添加剤としてCHIを添加すると、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなく、バイアス電力が40%減少する。
実施例3:ポリマーの化学組成及びポリマーの電気伝導率
基板:ポリマーのみのI-V特性を得るために、SiO基板の代わりに、低抵抗率のSi基板(0.02Ω・cm未満)をポリマー堆積に使用した。水銀プローブを使用したI-V測定を行い易くするために、Si基板を1インチ×1インチのクーポンへと切断した。
ポリマー堆積:C、C、CI、C+CI、及びC+CIに対して同じ堆積プロセス条件を使用した;堆積したポリマーの厚さをエリプソメーターで測定した;イオンブロック領域上のポリマーについては、シールドクーポンの端から1mm離れた位置で厚さを測定した。
ラムツール実験条件:RFソース電力:750W;バイアス電力:1500W;Ar/エッチングガス(又はガス混合物)/O:250/15/0;エッチング時間:30秒。
図10は、様々なポリマー化学組成及びポリマーの電気伝導率である。電流(I)~電圧(V)の測定値は実施例1のものと同じであった。示されているように、同じ電場強度の下で、ハイドロフルオロカーボンへのヨウ素分子添加剤は、ポリマーを介してより高い測定電流及びより低い絶縁破壊電圧を誘導する。様々なポリマー及びヨウ素分子添加剤の0.2MV/cmにおける導電率は以下の通りである:CI>C+CI>C+CI>C>C。C-C:C-Fx/C-I比が高いポリマーほど、絶縁破壊電圧が高く、電気的強度が高い。C-F結合がポリマーの導電性に寄与していると考えられる。C-C結合を多く含むポリマーは、C-Fを多く含むポリマーよりも導電性が低い。
表3は、C-C:C-F/C-I(xは整数である)の結合濃度比を示している。C-C:C-F/C-Iの結合濃度比は、低い方から高い方にCI<C+CI<C<C+CI<Cである。C-C結合は非極性の共有結合であり;C-Fx/C-I結合は極性の共有結合である。導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場における導電率の増加は>10%であった。
様々な電場における異なる伝導機構のため、ポリマーの化学組成と電気伝導率がどのように相互に関連しているかを示すことは困難であるが、一般に、ポリマーにヨウ素を添加すると導電性が高くなり、その結果、実施例2に示されているように、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなしに、添加剤を使用しない場合と比較してバイアス電力が少なくとも約10%低くなり、さらにはバイアス電力が不要になる。
本発明の性質を説明するために本明細書で説明及び図示されたパーツの詳細、材料、ステップ、及び配置における多くの追加の変更が、添付の特許請求の範囲に記載されている本発明の原理及び範囲内で当業者によって行われ得ることは理解されるであろう。したがって、本発明は、上で示した実施例及び/又は添付の図面における具体的な実施形態に限定されることを意図するものではない。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。
関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2020年12月28日出願の米国特許出願第17/135,216号の利益を主張する。
高アスペクト比(HAR)プラズマエッチング中に、Si、C、及び/若しくはヨウ素元素をドーピングすること、並びに/又は環状、芳香族、複素環式の化学構造を導入することにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。
50年以上にわたり、ムーアの法則により、半導体製造業者は、競合他社に対するコスト上の優位性を維持しながらもトランジスタ/チップの速度及び能力を向上させるために、デバイスのフィーチャサイズを小さくし続けている。このことは、フィーチャのどんどん小さくなるサイズと劇的に増加しているアスペクト比の要求にうまく応えるための製造プロセスに新たな課題をもたらしている。例えば、3次元ゲートスタックNANDフラッシュメモリ(3D-NAND)の製造には、アスペクト比が40を超える90+NAND層に小さなホールフィーチャをエッチングする能力が必要とされる。超高アスペクト比(HAR)エッチングを使用して、全てのウエハに1兆個を超えるホールをエッチングする必要がある。
エッチングされたフィーチャの垂直方向の等方性は、プラズマシース形成中のイオン輸送によって得られる。原理的には、正と負の粒子はホール内で同じ軌道を描き、HARホール底部で電荷を均等にするはずである。しかし、電子シェーディング効果のため、HARマスクパターンの底部に電荷が蓄積し、不完全なエッチング、ボーイング、ツイスティング、及びHARスタックの上部と底部の間の限界寸法(CD)のばらつきが生じる可能性がある。そのため、エッチングプロファイルとCD制御を改善するために、HARエッチング中の側壁のチャージアップを除去する又は最小限に抑えるための多くの取り組みが産業界で行われてきており、また継続されている。
コンタクトホールの歪みはコンタクトホール側壁の非対称な帯電によって引き起こされることが知られており、これによってコンタクトホール内の局所的な電場が変化し、コンタクトホール内の反応性イオンの方向が変化する(Kim et al.,J.Vac.Sci.Technol.A,Vol.33,021303-5 (2015)及びNegishi et al,J.Vac.Sci.Technol.B,Vol.35,051205(2017)を参照)。HARエッチングでは、マスクの劣化を評価するために楕円率が使用されてきた。高い(100%に近い)楕円率は、HARホールのねじれを回避し、エッチングプロファイルの歪みを低減するのに役立ち得る。
以下は、HARエッチング中のパッシベーション層の特性を調整するために使用されてきた方法のいくつかの例であるが、当然の結果として、1)プロセスチャンバーへのガス/化学物質の供給設定が複雑になり、2)HARフィーチャの上部及び底部におけるパッシベーション層の均一性が不十分になり、3)チャンバーのクリーニングの問題-チャンバー壁面に若干の金属含有ポリマーが堆積し、これを完全に除去することが困難である、などが生じる。
Sandhuらの米国特許出願公開第20070049018号明細書には、ハードフォトレジストマスクを使用して酸化物層に実質的に垂直なコンタクトホールをHARコンタクトエッチングする方法が開示されている。プラズマエッチングガスは、CH、C;C、C;CHF;C;CHF、CHF、又はそれらの組合せのうちの1つを含むフッ化炭化水素である。ドーパント分子は、HI、CHI、炭素、カリウム、カルシウム、PF、BF、塩化物、AsF、又はそれらの組合せのうちの1つを含む。ドープされたプラズマエッチングガスは、エッチングプロセス中にコンタクトホールの側壁に沿って形成された炭素鎖ポリマーを導電状態にドープすることによって、酸化物層を通る実質的に垂直なコンタクトホールをエッチングする。炭素鎖ポリマーの導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってコンタクトホールのツイスティングが防止され、アクティブエリアのランディング領域との適切なアライメントが確保される。エッチングは下にある基板で停止する。
Beraらの米国特許第7846846B2号明細書には、各コンタクト開口部の側壁に高導電性の薄膜を形成することでエッチングプロファイルのボーイングやベンディングを防止しながら、HARコンタクト開口部をエッチングする方法が開示されている。側壁上の薄膜の導電性は、エッチングプロセス中に周期的に行われるイオン衝撃によって強化される。エッチャントは、C、C、CH、又はC、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せのうちの少なくとも1つを含むフルオロカーボン/フルオロハイドロカーボンガスである。
Nikhilらの米国特許第9543158号明細書には、基板上の誘電体材料に凹状のフィーチャを形成するための様々な方法、装置、及びシステムが開示されている。場合によっては、プラズマ支援原子層堆積、修正プラズマ支援原子層堆積、又はプラズマ支援化学蒸着を使用して保護コーティングが堆積される。エッチング化学物質は、フルオロカーボンと酸素、C、C、N、CO、CF、及びOの組合せである。保護層はセラミック系材料又は有機ポリマーである。酸化ホウ素(BxOy)や窒化ホウ素(BxNy)などのホウ素含有材料については、反応物質としては、限定されないが、ホウ酸トリイソプロピル([(CHCHO]B)、トリメチルホウ素-d(B(CD)などが挙げられる。酸化ケイ素(Si)及び窒化ケイ素(Si)などのケイ素含有材料については、反応物質は、例えばシラン、ハロシラン、又はアミノシランであってよい。
HARエッチングプロセスは、メモリデバイスにとって重要なプロセスとなった。HARフィーチャの実効バイアス電力を増加させることによるイオンエネルギー制御は進歩し続けている。HARホール内のエッチングフロントにおける電荷の蓄積を克服するために、イオンエネルギーを増加させる多大な努力が払われた。過去数年間のバイアス電力の傾向に基づくと、現在必要な電力は20kWを超えるであろう。バイアス電力が増加すると、多くの課題が生じる。アーク放電の防止、効果的な冷却、及び電力供給システムは、全て高出力能力を実現するために重要である。さらに、中性種はホールを介した拡散によってのみ移動するため、アスペクト比が増加すると中性フラックスを補うことが難しくなる。
したがって、バイアス電力を低減しながら高導電性の側壁パッシベーション層を実現することが必要とされている。
反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR構造を形成する方法が開示され、この方法は:
ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に基板を逐次的に又は同時に曝露することであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有すること;
プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物との間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成すること;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される;
・酸化剤がOである;
・酸化剤がOである;
・酸化剤がCOである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び酸素含有ガスを混合して混合物を生成する;
・酸素含有ガスとは別にエッチング化合物及び添加剤を導入する;
・酸素含有ガスを連続的に導入し、ヨウ素含有エッチング化合物を導入する;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約99.9%v/vを占める;
・酸素含有ガスが、エッチング化合物、添加剤、及び酸素含有ガスの総体積の約0.01%v/v~約10%v/vを占める;
・不活性ガスを反応チャンバーに導入するステップを含み、不活性ガスがHe、Ar、Xe、Kr、Ne及びNからなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・チャンバーに導入する前に、エッチング化合物、添加剤、及び不活性ガスを混合して混合物を生成する;
・不活性ガスとは別にエッチング化合物及び添加剤を導入する;
・不活性ガスを連続的に導入し、エッチング化合物及び添加剤をパルスで導入する;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約0.01%v/v~約99.9%v/vを占める;
・不活性ガスが、エッチング化合物、添加剤、及び不活性ガスの蒸気の総体積の約90%v/v~約99.9%v/vを占める;
・基板がSiウエハである;
・基板が結晶シリコン層である;
・パターン化された構造を形成する;
・パターン化された構造が3D NANDアパーチャーである;
・パターン化された構造がコンタクトホールである;
・パターン化された構造が3D NANDコンタクトホールである;
・パターン化された構造がDRAMコンタクトである;
・パターン化された構造がチャネルホールである;
・パターン化された構造が3D NANDチャネルホールである;
・パターン化された構造が3D NANDスリットコンタクトである;
・アパーチャーが階段状コンタクトである;
・アパーチャーが自己整合コンタクトである;
・アパーチャーが自己整合ビアである;
・アパーチャーがスーパービアである;
・プラズマ活性化されたフルオロカーボン化合物及び活性化された添加剤化合物が膜と反応して揮発性副生成物を形成する;
・揮発性副生成物が反応チャンバーから除去される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び活性化された添加剤化合物を用いて形成された高導電性側壁パッシベーション層の導電率が、活性化された添加剤化合物を添加せずに活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物を用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・ハイドロフルオロカーボン又はフルオロカーボン化合物が、CF、CHF、C、C、CHF、C、C、C、C、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せを含む;
・ハイドロフルオロカーボン又はフルオロカーボン化合物がCである;
・添加剤化合物が次の式を有するケイ素、炭素、及び/又はヨウ素の元素を含む:
I、
SiRI、
SiR(2-x)
SiRI(3-y)
SiI(4-z)、又は
(2n+1)
(式中、n=1~10であり;x=1~2であり;y=1~3であり;z=1~3であり;R、R、R、及びRは、それぞれ独立して、H、C~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択され;RとR、RとR、又はRとRは連結して環状基を形成していてもよい);
・添加剤化合物が以下から選択される
・上の開示された添加剤CRIが以下を含む
・上の開示された添加剤SiRIが以下を含む
・上の開示された添加剤SiR(2-x)が以下を含む
・上の開示された添加剤SiRI(3-y)が以下を含む
・上の開示された添加剤SiI(4-z)が以下を含む
・開示された添加剤C(2n+1)Iが以下を含む
・添加剤化合物が以下から選択される
ヨードメタンCHI(CAS No.:74-88-4)、
ヨードベンゼンCI(CAS No.:591-50-4)、
2-ヨードプロパンCI(CAS No.:75-30-9)、
1-ヨードプロパンCI(CAS No.:107-08-4)、
1-ヨードエタンCI(CAS No.:75-03-6)、
ヨウ化ペルフルオロブチルCI(CAS No.:423-39-2)、
ジフルオロヨードメタンCHIF(CAS No.:1493-03-4)、
ジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS No.:36972-59-5)、
1-(ジフルオロヨードシリル)-2-メチルベンゼンCISi(CAS No.:174711-76-3)、
ジフルオロヨード(トリフルオロメチル)シランCFISi(CAS No.:27668-68-4)、
トリエチルヨードシランC15ISi(CAS No.:1112-49-8)、
フルオロトリヨードシランFISi(CAS No.:16865-60-4)、
ビフルオロビオヨードシランFSi(CAS No.:27669-15-4)、
トリフルオロヨードシランFISi(CAS No.:27668-68-4)、
ヨードトリメチルシランCISi(CAS No.:16029-98-4)、又は
ジヨードシランSiH(CAS番号:13760-02-6);
・添加剤化合物がヨードメタンCHI(CAS番号:74-88-4)である;
・添加剤化合物がヨードベンゼンCI(CAS番号:591-50-4)である;
・添加剤化合物が2-ヨードプロパンCI(CAS番号:75-30-9)である;
・添加剤化合物が1-ヨードプロパンCI(CAS番号:107-08-4)である;
・添加剤化合物が1-ヨードエタンCI(CAS番号:75-03-6)である;
・添加剤化合物がヨウ化ペルフルオロブチルCI(CAS番号:423-39-2)である;
・添加剤化合物がジフルオロヨードメタンCHIF(CAS No.:1493-03-4)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS番号:36972-59-5)である;
・添加剤化合物がジフルオロヨード(ペンタフルオロエチル)シランCISi(CAS番号:36972-59-5)である;
・添加剤化合物が1-(ジフルオロヨードシリル)-2-メチル-ベンゼンCISi(CAS番号:174711-76-3)である;
・添加剤化合物がジフルオロヨード(トリフルオロメチル)シランCFISi(CAS番号:27668-68-4)である;
・添加剤化合物がトリエチルヨードシランC15ISi(CAS番号:1112-49-8)である;
・添加剤化合物がフルオロトリヨードシランFISi(CAS番号:16865-60-4)である;
・添加剤化合物がビフルオロビオヨードシランFSi(CAS番号:27669-15-4)である;
・添加剤化合物がトリフルオロヨードシランFISi(CAS番号:27668-68-4)である;
・添加剤化合物がヨードトリメチルシランCISi(CAS番号:16029-98-4)である;
・添加剤化合物がジヨードシランSiH(CAS番号:13760-02-6)である;
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である;
・膜がOを含むケイ素含有膜である;
・膜がNを含むケイ素含有膜である;
・膜が、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを任意選択的に含むケイ素含有膜である;
・ケイ素含有膜が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si)、多結晶シリコン、非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(a>0;b、c、d、e≧0)、交互のSiOとSiN(ONON)の層、交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜が酸素、窒素、炭素、水素、又はそれらの組合せを含む;
・ケイ素含有膜がSiOであり、xは0~2の範囲であり、yは0~4の範囲であり、zは0~約1の範囲であり、kは0~1の範囲である;
・ケイ素含有膜がSiO層を含む;
・ケイ素含有膜がSiN層である;
・ケイ素含有膜が交互のSiOとSiN(ONON)の層を含む;
・ケイ素含有膜が交互のSiOとp-Si(OPOP)の層を含む;
・ケイ素含有膜がB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGeなどのドーパントを含む;
・交互の層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si(a>0;b、c、d、e≧0)、又はそれらの組合せの層を含む;
・交互の層が、酸素原子、窒素原子、炭素原子、水素原子、又はそれらの組合せを含む;
・交互の層がケイ素含有膜である;
・交互の層が酸化ケイ素の層と窒化ケイ素の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層を含む;
・交互の層が酸化ケイ素と窒化ケイ素の交互の層である;
・交互の層が酸化ケイ素の層とポリシリコンの層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層を含む;
・交互の層が酸化ケイ素とポリシリコンの交互の層である;
・交互の層がハードマスク層から選択的にエッチングされる;
・交互の層がa-C層から選択的にエッチングされる;
・交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がa-C層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層がドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素層がハードマスク層から選択的にエッチングされる;
・酸化ケイ素層がa-C層から選択的にエッチングされる;
・酸化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・窒化ケイ素層がハードマスク層から選択的にエッチングされる;
・窒化ケイ素層がa-C層から選択的にエッチングされる;
・窒化ケイ素層がドープされたカーボン層から選択的にエッチングされる;
・ポリシリコン層がハードマスク層から選択的にエッチングされる;
・ポリシリコン層がa-C層から選択的にエッチングされる;
・ポリシリコン層がドープされたカーボン層から選択的にエッチングされる;
・ケイ素含有膜が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・酸化ケイ素層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、又は有機平坦化層から選択的にエッチングされる;
・エッチング化合物が、酸化ケイ素層と窒化ケイ素層の両方を高いエッチング速度でエッチングする;
・パターン化されたマスク層が、a-C層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、ポリSi層、金属酸化物層、例えばTi、Al、Zr、Hfなどの酸化物、及びそれらの組合せである;
・ケイ素含有層上にハードマスク層が配置される;
・ハードマスク層がパターン化されたハードマスク層である;
・ハードマスク層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、有機平坦化層、又はそれらの組合せである;
・ハードマスク層が、CVD、PECVD、ALD、PEALD、又はスピンオン堆積(SOD)非晶質カーボン又はドープ非晶質カーボン、ケイ素含有スピンオンマスク、又は炭素含有スピンオンマスクの層である;
・ハードマスク層が非晶質カーボン(a-C)層である;
・ハードマスク層がドープされたカーボン層である;
・ドープされた非晶質カーボン層が、ホウ素がドープされたa-C層である;
・ドープされた非晶質カーボン層が、タングステンがドープされたa-C層である;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約1:1~約20:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約60:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約21:1~約200:1のアスペクト比を有する;
・膜に形成されたHARパターン化構造が約61:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)及びそれらの組合せからなる群からなる群から選択される;
・エッチング化合物及び添加剤を追加のエッチングガスとは別に導入する;
・約0.01%v/v~約99.99%v/vの追加のエッチングガスをエッチング化合物と添加剤に添加する;
・RF電力を印加してプラズマを活性化する;
・約25W~約100,000Wの範囲のRF電力によってプラズマを活性化する;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤なしのエッチングと比較してバイアス電力が低い;
・ハイドロフルオロカーボン又はフルオロカーボンを含む添加剤を使用することによって、添加剤を使用しない場合よりもバイアス電力が少なくとも約10%低い;
・エッチング圧力が約1mTorr~約100Torrの範囲である;
・エッチング圧力が約1mTorr~約50Torrの範囲である;
・エッチング圧力が約1mTorr~約10Torrの範囲である;
・エッチング圧力が約1mTorr~約50mTorrの範囲である;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物及び添加剤の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量でエッチング化合物の蒸気を導入する;
・約0.1sccm~約1slmの範囲の流量で添加剤の蒸気を導入する;
・基板を約-100℃~約500℃の範囲の温度に維持する;
・基板を約20℃~約150℃の範囲の温度に維持する;
・基板を約20℃~約110℃の範囲の温度に維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR、又は他のラジカル/イオン測定ツールによって、プラズマ下でエッチング化合物を測定する。
また、HARパターン化構造を形成する方法も開示され、この方法は:
基板をC及びCHIの蒸気に逐次的に又は同時に曝露するステップであって、基板が、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有するステップ;
プラズマを活性化して、活性化されたCとCHIとを生成するステップ;及び
パターン化されたマスク層によって覆われていない膜と、活性化されたC又はCHIとの間でエッチング反応を進行させて、パターン化されたマスク層から膜を選択的にエッチングし、それによってHARパターン化構造を形成するステップ;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入するステップをさらに含み、酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される;
・不活性ガスを反応チャンバーに導入するステップをさらに含み、不活性ガスがHe、Ar、Xe、Kr、Ne、及びNからなる群から選択される;
・高導電性側壁パッシベーション層がHARパターン化構造の側壁上に形成される;
・活性化されたC及び活性化されたCHIを用いて形成された高導電性側壁パッシベーション層の導電率が、活性化されたCHIを添加せずに活性化されたCを用いて形成された高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い;
・膜に形成されたHARパターン化構造が約1:1~約200:1のアスペクト比を有する;
・追加のエッチングガスを反応チャンバーに導入することをさらに含み、追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)及びそれらの組合せからなる群から選択される;そして
・膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である。
表示法及び命名法
以下の詳細な説明及び特許請求の範囲では、一般に、当該技術において周知である多数の略語、記号、及び用語が利用され、以下のものが含まれる:
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載された値の±10%を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「室温」は、約20℃~約25℃を意味する。
「ウエハ」又は「パターン化されたウエハ」という用語は、基板上のケイ素含有膜を含む任意の既存の膜のスタックと、パターンエッチングのために形成されたケイ素含有膜を含む任意の既存の膜のスタック上のパターン化されたハードマスク層とを有するウエハを指す。
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でエッチングプロセスが行われる1つ以上の材料を有するウエハ又はパターン化されたウエハを指す場合がある。基板は、半導体、太陽光発電、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウエハであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウエハは、シリコン層(例えば結晶性、非晶質、多孔性など)、ケイ素含有層(例えばSiO、SiN、SiON、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組合せを含み得る。さらに、基板は平坦であっても、又はパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)、電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)、又はCMOS系において将来シリコンに代わる有力な候補である金属含有若しくは金属合金ベースの膜(例えばInGaAs、In(x=0.5~1.5、y=0.5~1.5)、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、In、又はIn(OH)など)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上の関連する層は、基板と呼ばれる。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、パターン化されたハードマスク層の下の、ケイ素含有膜のスタックなどの非平坦構造をエッチングすることを指す。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、エッチング化合物及び/又はプラズマを使用して、イオン衝撃、リモートプラズマ、又はエッチングガスと基板との間の化学気相反応によって材料を除去することを意味し、等方性エッチングプロセス及び/又は異方性エッチングプロセスを指す。等方性エッチングプロセスは、エッチング化合物と基板との間の化学反応を伴い、基板上の材料の一部が除去される。このタイプのエッチングプロセスには、ケミカルドライエッチング、気相ケミカルエッチング、サーマルドライエッチングなどが含まれる。等方性エッチングプロセスは、基板に横方向又は水平方向のエッチングプロファイルを生成する。等方性エッチングプロセスは、基板に予め形成されたアパーチャーの側壁にリセス又は水平方向のリセスを生成する。異方性エッチングプロセスには、イオン衝撃によって化学反応が垂直方向に加速され、その結果マスクされたフィーチャのエッジに沿って基板に対して直角に垂直な側壁が形成されるプラズマエッチングプロセス(すなわちドライエッチングプロセス)が含まれる(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチングプロセスは、基板に垂直エッチングプロファイルを生成する。プラズマエッチングプロセスは、基板に、垂直ビア、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、スリットエッチング、自己整合コンタクト、自己整合ビア、スーパービアなどを生成する。
「マスク」という用語は、エッチングに抵抗する層を指す。マスク層は、エッチングされる層の上に配置することができる。マスク層は、ハードマスク層も指す。マスク層は、非晶質カーボン(a-C)層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、及びそれらの組合せであってよい。マスク層は、ポリSiなどのシリコン層、Ti、Al、Zr、Hfなどの金属酸化物、酸化物、及びそれらの組合せであってもよい。
「アスペクト比」という用語は、トレンチの幅(又はアパーチャの直径)に対するトレンチ(又はアパーチャ)の高さの比率を意味する。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下の層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「ビア」、「アパーチャー」、「トレンチ」、及び「ホール」という用語は、互換的に使用される場合があり、一般的に層間絶縁体の開口部を意味する。
「低バイアス電力」又は「低減されたバイアス電力」という用語は、ベースラインプロセスよりも低いバイアス電力を指す。
本明細書で使用される「添加剤」という用語は、他のエッチング化合物に添加され、ボーイング、CD、楕円率などのプロファイル特性の改善のような、エッチングの特性をある程度改善する化合物又はガスを指す。
本明細書で使用される「楕円率」という用語は、マスクの劣化を測定する方法を指し、エッチングの用途では、エッチングされたホールの楕円率は、単純化するために(短いホールの幅/長いホールの幅)*100%によって推定される;したがって、完全な円形の楕円率は100%として定義された。
本明細書で使用される「NAND」という略語は、「Negated AND」又は「Not AND」ゲートを指し、「2D」という略語は、平坦基板上の2次元ゲート構造を指し、「3D」という略語は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を指す。
本明細書で使用される「水銀プローブ」という用語は、電気的特性評価のためにサンプルに迅速且つ非破壊的に接触するための電気プローブデバイスを指す。水銀とサンプルの接触がオーム性(非整流)である場合、抵抗、漏れ電流、又は電流-電圧特性を測定するために電流-電圧計測器を使用することができる。抵抗はバルクサンプル又は薄膜で測定することができる。薄膜は、水銀と反応しない任意の材料から構成することができる。本明細書で使用される水銀プローブの水銀接点の直径は760umである。
本明細書で使用される「導電率」という用語は、電気抵抗率の逆数であり、材料が電流を流す能力を表す。本明細書で使用される電気伝導率の単位は、ジーメンス毎センチメートル(S/cm)である。これは水銀プローブを使用して測定され、
の解を使用して0.2MV/cmの電場での電流-電圧曲線から計算される。式中、σは導電率であり、Iは水銀プローブによって測定された電流であり、Tはポリマーの厚さであり、Aは水銀プローブの接触面積である。電場は、印加電圧をポリマーの厚さで割ったものとして定義される。例えば、図10では、電場が0.2MV/cmである場合、測定された電流は1.92×10-11Ampである。C4F8ポリマーの導電率は2.14×10-9S/cmと計算される。
本明細書で使用される「高導電性側壁パッシベーション層」という用語は、Cポリマーの導電率を超える側壁パッシベーション層の電気伝導率を指し、2.14×10-9S/cmとして計算される。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
なお、本明細書においては、「エッチング化合物」、「エッチャント」、「エッチングガス」、及び「プロセスガス」という用語は、エッチング化合物が室温且つ周囲圧力で気体状態にある場合には、互換的に使用され得る。エッチング化合物は、エッチングガス又はエッチャント又はプロセスガスに対応又は関連し得ること、及びエッチングガス又はエッチャント又はプロセスガスがエッチング化合物を指し得ることが理解される。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p-Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1~6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5~1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書では、以下の説明におけるSiNは、Si含有層を表すために使用される場合がある。好ましくは、酸化ケイ素は、nが0.5~1.5の範囲であり、かつmが1.5~3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すために使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1~6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなど、及びそれらの組合せのドーパントも含み得る。
R基を説明する文脈で使用される場合の「独立して」という用語は、対象のR基が、同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同じR基の任意の追加の種に対しても独立して選択されることを意味すると理解されるべきである。例えば、式MR (NR(4-x)(Mは原子であり、xは2又は3である)において、2つ又は3つのR基は、互いに、又はR若しくはRと同じであってもよいが、必ずしも同一である必要はない。さらに、別段の明記がない限り、R基の値は、異なる式で使用される場合に互いに独立であることが理解されるべきである。
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内の全ての組合せとともに、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしも全てが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実装」という用語にも当てはまる。
本発明の性質及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
平坦ウエハ上で測定された、添加剤CHIあり又はなしでのCの導電率である。 平坦ウエハ上で測定された、添加剤CIあり又はなしでのCの導電率である。 平坦ウエハ上で測定された、添加剤SiHあり又はなしでのCの導電率である。 それぞれ添加剤CHIあり又はなしでのCのER及び選択率の比較である。 添加剤CHIあり又はなしでのCの側壁のボーイングの比較である。 添加剤CHIあり又はなしでの楕円率の比較である。 7000Wのバイアス電力での、CHI添加剤あり又はなしのCの限界寸法(CD)の比較である。 5600Wのバイアス電力での、CHI添加剤あり又はなしのCのCDの比較である。 4200Wのバイアス電力での、CHI添加剤あり又はなしのCのCDの比較である。 様々なポリマーの化学組成及びポリマーの電気伝導率である。
高アスペクト比(HAR)プラズマエッチングプロセスにおいて、Si、C、及び/又はヨウ素元素をドーピングすることにより、添加剤としてエッチングガスを使用して側壁上に高導電性側壁パッシベーション層を形成する方法が開示される。開示された方法は、Si、C、及び/又はヨウ素元素をドーピングすることによって高導電性側壁パッシベーション層を形成するために、HARプラズマエッチングプロセスにおいて添加剤又は添加剤化学物質を使用する。高導電性側壁パッシベーション層はポリマーパッシベーション層であってよい。ポリマーパッシベーション層の導電状態により、側壁に沿った電荷の蓄積が減少し、電荷をブリードオフすることによってホールなどのHAR構造のツイスティングが防止され、バイアス電力が低いレベル~ない状態での限界寸法(CD)変動の適切な制御が確保される。添加剤を利用することにより、バイアス電力は、添加剤の使用なしの場合と比較して少なくとも約10%低くなる場合があり、さらにはバイアス電力が不要になる。
側壁電荷が最小限に抑えられると、反応性イオンがHARトレンチの底部に到達するのに必要なプラズマバイアス電力が少なくなるため、開示された方法は、低バイアスエネルギープラズマエッチングとして考えることもできる。加えて、開示された添加剤を含むエッチングガス又は処理ガスは、洗浄が困難な元素を含まず、このことにより反応チャンバーの汚染も最小限に抑えられ、ツールのメンテナンス/ダウンタイムも減らされる。
開示された方法は、ハイドロフルオロカーボンエッチャントガス及び添加剤ガスを使用して、所定の時間、低減されたバイアス電力下で、パターン化されたウエハ又は基板をプラズマエッチングすることに関する。所定時間は、プラズマを活性化する前にチャンバー内の圧力及びガス流を安定させるために、0秒から1000秒の範囲とすることができる。
開示された添加剤又は添加剤化学物質は、次の式を有するSi、C、及び/又はヨウ素の元素を含む:
CRI、
SiRI、
SiR(2-x)
SiRI(3-y)
SiI(4-z)、又は
(2n+1)
(式中、x=1~2であり;y=1~3であり;z=1~4であり;R、R、R、及びRは、それぞれ独立して、H、D(重水素)、C~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択される)。RとR、RとR、又はRとRは連結して環状基を形成していてもよい。
上の開示された添加剤CRIは以下を含み得る。
上の開示された添加剤SiRIは以下を含み得る。
上の開示された添加剤SiR(2-x)は以下を含み得る。
上の開示された添加剤SiRI(3-y)は以下を含み得る。
上の開示された添加剤SiI(4-z)は以下を含み得る。
開示された添加剤C(2n+1)Iは以下を含み得る。
Si、C、及び/又はヨウ素の元素を含む例示的な開示された添加剤を表1に列挙する。これらの分子は市販されており、或いは当該技術分野で公知の方法によって合成することができる。それらの構造式、CAS番号、及び沸点を表に示す。Si、C、及び/又はヨウ素の元素を含有する開示された添加剤には、それらの異性体も含まれ得る。
エッチングガスには高い揮発性が好ましいため、添加剤化学物質にも高い揮発性が必要とされる。上述したように、小さなアルキル基が添加剤のケイ素上の置換基として使用され、これにより開示された添加剤は高い揮発性を有する。アルキル置換基を使用することによってもたらされるもう1つの利点は、不十分な炭素脱離基の生成により側壁のパッシベーションに炭素が組み込まれる可能性が高まることである。側壁のパッシベーションに芳香族基を含めることで導電性が向上させることができるため、ケイ素上で芳香族置換基を使用することも新しい分子で提案されている。芳香族環のエレクトロニクスを導電性が変化させ得るため、芳香族基上の置換も興味深い。しかしながら、当業者であれば、低揮発性のエッチング材料も使用できることを理解するであろう。低揮発性エッチング材料は、低揮発性エッチング材料とエッチングツールに接続するガスラインとが入っている容器又はシリンダーを加熱すること、不活性ガスが液体の低揮発性エッチング材料を通して吹き込まれるバブラー法を使用することなどを含む、低揮発性エッチング材料の供給源を加熱して揮発性を高めるなど、様々な方法によって使用することができる。
開示された添加剤は、高アスペクト比のホール/トレンチの側壁に形成されるパッシベーション層の特性の調整に適している。側壁のパッシベーションと下方へのエッチングは同時に起こる。パッシベーション層は、プラズマエッチングガス中の炭素源、エッチングガスと曝露される材料との間の反応、又はエッチングプロセスからの副生成物の再堆積に由来し得る。エッチャントへの添加剤は、導電性元素及び/又は化学結合を導入することによって側壁パッシベーションの化学組成に大きく影響し、それによって側壁パッシベーションの導電率にプラスの影響を与える。プラズマエッチングの過程で、構造の底部の電位は正に帯電する一方で、側壁は負に帯電するため、構造内に望ましくない局所的な電場が形成される。局所的な電場に沿った電位差よりも大きなエネルギーを持つ高エネルギーイオンのみが底部に到達することができる。側壁のパッシベーションの導電率が増加するのに伴い、側壁の電荷は急速に消散する。必要なバイアス電力はベースラインプロセスを下回る。
開示されたエッチングガスは、フルオロカーボン/ハイドロフルオロカーボンであってよい。例示的な開示されたフルオロカーボン/ハイドロフルオロカーボンとしては、CF、CHF、C、C、CHF、C、C、C、C、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せが挙げられる。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、酸化ケイ素(SiO)、窒化ケイ素(SiN)、純シリコン(Si)(結晶Siなど)、ポリシリコン(p-Si又は多結晶Si);非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(a>0;b、c、d、e≧0);金属含有膜(例えば銅、コバルト、ルテニウム、タングステン、インジウム、白金、パラジウム、ニッケル、ルテニウム、金など)などの層を含むケイ素含有膜のエッチングに適している。ケイ素含有膜は、SiOとSiNの交互の層(ONON)、SiOとp-Siの交互の層(OPOP)を含んでいてもよい。ケイ素含有膜は、O及び/又はNを含む。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントも含み得る。
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppm未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
開示されたフルオロカーボン/ハイドロフルオロカーボン及び添加剤は、10%v/v未満、好ましくは1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、且つより良好なプロセス繰返し性を提供し得る。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、ほとんどの用途でエッチングされる構造の底部に位置する金属層である埋め込み型ランディング層又は材料からケイ素含有層を選択的にエッチングする。開示されたフルオロカーボン/ハイドロフルオロカーボンは、金属ランディング層をエッチングしない。埋め込み型ランディング層は、エッチング停止層又は拡散バリア層であってもよい。金属ランディング層の材料は、3D NAND構造のタングステン金属ワールドライン、及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属、及び/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN又はそれらの組合せなど)であってよい。
開示されたフルオロカーボン/ハイドロフルオロカーボンは、基材上にケイ素含有膜をプラズマエッチングするために使用され得る。開示されたプラズマエッチング法は、NAND又は3D NANDゲートなどの半導体デバイス、或いはフィン型電界効果トランジスタ(fin-shaped field-effect transistor)(FinFET)、ゲートオールアラウンド(GAA)FET、ナノワイヤFET、ナノシートFET、フォークシートFET、相補型FET(CFET)、バルク相補型金属酸化物半導体(バルクCMOS)、MOSFET、完全空乏シリコンオンインシュレータ(FD-SOI)構造などのフラッシュ又はDRAMメモリ又はトランジスタの製造において有用であり得る。開示されたヨウ素含有エッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング用途などの他の領域の用途において有用であり得る。さらに、開示されたヨウ素含有エッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon via)(TSV)エッチング用途においてSiをエッチングするために、及びMEMS用途において使用され得る。
開示されたエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源によるCCP、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されているApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されているLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統、又はAdvanced Micro-Fabrication Equipment Inc.China(AMEC)Primo SSC HD-RIEエッチャーが含まれる。それらにおけるRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するためにパルスであってもよい。
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm~450mmの直径を有する1~200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD-TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge、SiGe、GeSn、InGaAs、GaSb、InP又はGaAsウエハなどのウエハが含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、シリコン(非晶質シリコン、p-Si、結晶シリコン、これらのいずれもB、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeによってさらにp-ドープ又はn-ドープされていてもよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0であり;b、c、d、e≧0である)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;ドーパントを含む又は含まない非晶質炭素、反射防止コーティング、フォトレジスト材料、金属酸化物、例えばAlO、TiO、HfO、ZrO、SnO、TaO、又は金属窒化物層、例えばAlN、ZrN、SnN、HfN、窒化チタン、窒化タンタルなど、又はそれらの組合せなどのマスク層材料;窒化シリコン、ポリシリコン、結晶シリコン、炭化ケイ素、SiON、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば結晶シリコン、エピタキシャルシリコン、ドープされたシリコン、Si(式中、a>0であり;b、c、d、e≧0である)又はそれらの組合せなどのエッチング停止層材料;が挙げられる。a-C(非晶質カーボン)は、PE-CVDプロセスを使用して堆積されたカーボン膜である。組成は主に炭素であり、若干の水素成分を含む。ドープされたa-Cは、堆積プロセス中にドーパントが追加的に堆積される非晶質カーボン膜である。ドーパントには、ホウ素、ジルコニウム、アルミニウム、チタン、タングステンが含まれ得る。炭素膜は、PE-CVDプロセスとは対照的に、スピンオンプロセスを使用して堆積することもできる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
開示されたエッチング方法は、基板をチャンバー内に配置した後、開示されたフルオロカーボン/ハイドロフルオロカーボンをチャンバー内に導入する前に、反応チャンバーを高真空までポンプで排気することを含む。高真空は0.01mTorr~10mTorrの範囲とすることができる。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N2、He又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v~約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたエッチングガスの蒸気及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W~約100,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
開示されたエッチングガス及び添加剤は、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガス又は共反応剤と混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、ハイドロフルオロカーボンエッチング化合物及び添加剤の蒸気は、2種以上のガスが反応するか又は独立して送達するのがより容易である場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、ハイドロフルオロカーボンエッチングガス及び添加剤ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の代替形態では、ハイドロフルオロカーボンエッチングガス、添加剤ガス、及び不活性ガスが、エッチングプロセス中に使用されるただ3種のみのガスである。
模範的な他のガス又は共反応剤としては、限定されないが、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO及びそれらの組合せなどの酸化剤が含まれる。開示されたエッチングガス/添加剤及び酸化剤は、反応チャンバー中に導入される前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、かつエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v~約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスが使用され得る他の例示的なガスとしては、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、HS、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)又はそれらの組合せが挙げられる。例えば、約1%v/v~約25%v/vの開示されたヨウ素含有エッチング化合物が使用され得、残りはC又はcCである。以下の実施例に示されるように、開示されたヨウ素含有エッチング化合物と従来のエッチングガスとの組合せによって、基材と、開示されたヨウ素含有エッチング化合物と関連してエッチングされる層との間のより高い選択性を維持しながら、増加したエッチング速度をもたらし得る。
開示されたエッチング化合物及び/又は添加剤の蒸気は、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。蒸気は、それぞれ約0.1sccm~約1slmの範囲の流量においてチャンバーに導入され得る。例えば、200mmのウエハサイズに関して、蒸気は約5sccm~約50sccmの範囲の流量においてチャンバーに導入され得る。代わりに、450mmのウエハサイズに関して、蒸気は約25sccm~約250sccmの範囲の流量においてチャンバーに導入され得る。当業者は、流量がツールによって変動し得ることを認識するであろう。開示されたエッチング化合物及び/又は添加剤の蒸気は、予め混合して、又は別々に反応チャンバーに導入することができる。
開示されたエッチング化合物及び/又は添加剤は、そのままの形態で、又はエチルベンゼン、キシレン、メシチレン、デカン、若しくはドデカンなどの適切な溶媒とのブレンドのいずれかで供給され得る。開示された化合物及び添加剤は、溶媒中に様々な濃度で存在し得る。開示された化合物及び添加剤の蒸気形態は、直接蒸発又はバブリングなどの従来の蒸発ステップを介して、開示された化合物及び添加剤のそのままの又はブレンドされた溶液を蒸発させることによって生成することができる。そのままの、又はブレンドされた開示された化合物及び添加剤は、それを反応器中に導入する前にそれを蒸発させる蒸発器へと液体状態で供給され得る。代わりに、そのままの、又はブレンドされた開示された化合物及び添加剤は、開示された化合物及び添加剤を含有する容器中にキャリアガスを通過させることによって、又は開示された化合物及び添加剤中にキャリアガスをバブリングすることによって蒸発され得る。キャリアガスとしては、限定されないが、Ar、He、N、Xe、Kr、Ne、及びそれらの混合物が含まれ挙げられ得る。その後、キャリアガス及び開示された化合物及び添加剤が蒸気として反応器中に導入される。
必要であれば、開示された化合物及び添加剤が液体相となり、且つ十分な蒸気圧を有することができる温度まで、開示された化合物及び添加剤が入っている容器を加熱してもよい。容器は、例えば、約0℃~約150℃の範囲の温度に維持されてもよい。バブラーからエッチングツールまでのラインも、容器の温度以上の温度に維持され得る。当業者は、容器の温度が、蒸発させる開示された化合物及び添加剤の量を制御するために公知の様式で調整され得ることを認識している。
開示されたハイドロフルオロカーボンエッチングガス及び添加剤ガスの蒸気並びに追加のエッチングガスは、反応チャンバーに導入される前に混合され得る。追加のエッチングガスは、チャンバーに導入される混合物の約0.01%v/v~約99.99%v/vを占めることができる。
開示されたエッチングガスの蒸気と、不活性ガスや共反応物質などの追加のガスは、プラズマによって活性化されて、活性化されたエッチングガスを生成する。プラズマによってエッチングガスはラジカル形態又はイオン(すなわち活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を印加することによって発生させてもよい。プラズマは、デカップルドプラズマエッチング反応器内で約25W~約100,000Wの範囲のRFソース電力によって発生させることができる。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、限定されないが、両電極において印加されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基板における反応を制御するために、プラズマRFパルスをさらにバイアス電力として使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
開示された添加剤はハイドロフルオロカーボン又はフルオロカーボンと共に使用されるため、添加剤なしのエッチングと比較してバイアス電力が低くなり得る。開示された方法では、添加剤を利用することにより、以下の実施例から、バイアス電力は、添加剤を使用しない場合よりも少なくとも約10%低くなり得る。
高アスペクト比のパターン化された構造上に高導電性側壁パッシベーション層を形成する開示された方法は、i)基板を含む反応器にエッチャントの蒸気を導入すること;ii)ソース電力を印加することにより、反応器内でエッチャントからイオンプラズマを形成すること;iii)基板上のパターン化されたマスク層によって覆われていない基板の部分が選択的にエッチング除去され、高アスペクト比のパターン化された構造が形成されるように、低減されたバイアス電力を印加することによってイオンを基板に向かって拡散させること;並びにiv)高アスペクト比のパターン化された構造の側壁上に高導電性側壁パッシベーション層が形成されるまで、所定の時間プロセスを実行し続けてから、ソース電力と低バイアス電力をオフにすること;を含む。
ここで、基板は、その上に配置された膜と、膜上に配置されたパターン化されたマスク層とを有する。エッチャントは、ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含む。エッチャントは、共反応物質及び/又は不活性ガスも含み得る。エッチャントが反応器に導入された後、エッチャントは平衡に到達するまで放置される。低減されたバイアス電力は、エッチャントへの添加剤の添加なしよりも少なくとも10%低くなり得る。所定時間は1秒~1000秒の範囲である。ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物の比率は、側壁面の新たに形成された部分が不動態化され、それ以上のエッチングから保護されるように選択される。反応器に導入されるハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物との比率は、流量によって1:99から99:1まで変化し得る。
反応器にエッチャントを導入する前に、反応器は0.001mTorr~100mTorrの範囲の高真空までポンプで真空引きされ、エッチングプロセスの後、反応器は不活性ガスによってパージされ得る。
反応チャンバーの温度は、基板ホルダーの温度を制御することによって、又は反応器壁の温度を制御することによって制御することができる。基板を加熱するために使用される装置は当該技術分野で公知である。反応器壁は、特に基板温度が壁の温度よりも高いシャワーヘッド反応器が使用される場合、壁又は反応器チャンバーでの凝縮を防ぐのに十分な温度まで加熱される。反応器壁を加熱することができる非限定的な例示的な温度範囲には、約-100℃(LN温度)~約500℃、好ましくは約20℃~約150℃、より好ましくは20℃~約110℃の範囲が含まれる。
反応チャンバー内の圧力は、パターン化されたマスク層によって覆われていない基板の部分のエッチャント又はプロセスガスに適した条件に維持される。ここで、エッチャント又はプロセスガスは、ハイドロフルオロカーボン又はフルオロカーボンのエッチングガス、添加剤、共反応物質などを含み得る。例えば、反応器内の圧力は、約1mTorr~約100Torr、好ましくは約1mTorr~約50Torr、より好ましくは約1mTorr~約10Torr、さらにより好ましくは約1mTorr~約50mTorrに保持され得る。
エッチング条件は、エッチングプロセス中に変化し得る。例えば、ガス流量、プラズマ出力、圧力、温度などのパラメータは、ホール又はトレンチの底部に近いエッチングの終了部分と比較して、エッチングの開始部分の間に高くても低くてもよい。或いは、ポリマーの堆積速度を低下又は向上させるなど、性能を改善するために、エッチングの異なる時点で異なるエッチングガスが添加されてもよい。
開示されたエッチング方法は、マスク層、フォトレジスト、エッチストップ層及びデバイスチャネル材料に対して高い選択率を提供し得、且つDRAM及び3D NAND構造などの1:1~200:1の範囲のアスペクト比を有するものなどのHAR構造並びにコンタクトエッチング用途におけるプロファイル歪みを提供し得ない。或いは、1:1~20:1の範囲のアスペクト比、及び21:1~200:1の範囲のアスペクト比である。開示されたエッチング方法は、1:1~200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。或いは、開示されたエッチング方法は、約1:1~約20:1のアスペクト比、約21:1~約200:1の間のアスペクト比、約1:1~約60:1のアスペクト比、又は約61:1~約200:1のアスペクト比を有するHARパターン化構造のエッチングに適している。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。
以下の実施例では、市販のLAMツール4520XLe200mm(CCPデュアル周波数プラズマ)を用いて、或いは市販のAMEC300mmPrimo SSC HD-RIEエッチャーを用いて実験を行った。再現性を証明するために、各エッチング試験を少なくとも3回繰り返した。3回の測定の平均の標準偏差は、チャート中のエラーバーとして示されている。その後、ポリマーの組成をX線光電子分光法(XPS)によって調べた。
実施例1:ポリマーの電気伝導率の測定
図1~図3は、それぞれ平坦ウエハ上で測定された、添加剤CHI、CI、又はSiHあり又はなしでのCの導電率である。電流(I)-電圧(V)は水銀プローブを使用して測定した。接触抵抗を改善するためにウエハの裏面に脱イオン水を一滴加えた。これは低い電圧における測定ノイズの低減に役立ち得る。同じ電場強度の下では、ハイドロフルオロカーボンにヨウ素分子を添加すると、ポリマーを介して測定電流が増加し、絶縁破壊電圧が低くなる。添加剤ありでのCの導電率は、添加剤がないCの導電率と比較して増加する。図1~図3の電流差を参照のこと。表1に示されているように、導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場での導電率の増加は>10%であった。
実施例2:CHIを添加剤として使用したONONホールパターンエッチング
CHIは、平坦薄膜上での有望な性能(a-Cマスクに対するより高い選択率及びポリマー導電率の増加)のため、パターン化されたウエハ又は基板上のONON(すなわちSiO/SiNの交互の層)ホールパターンエッチング用のCを含むエッチングレシピに添加された。パターン化されたウエハは、上にホールパターン化された非晶質カーボン(a-C)マスク層が堆積されたONON層を有する。エッチングレシピはOも含む場合がある。
予備スクリーニングのために4つの条件、30/10sccm、35/5sccm、40/5sccm、及び40/10sccmのC/CHI流量を試験した。30/10sccmと35/5sccmは同じ総ガス流量である。CHIは非常に重合性が高いため、CHI流量が10sccm以上の場合にエッチストップがSEM画像で観察された。40/5sccmの条件ではエッチング選択率の改善が示されるものの、さらなるエッチングレシピの調整が必要である。SEM条件はフローの通りである。Accel.電圧:5.0kV;エミッション電流:20μA;倍率:×30.0k。
CHIは非常に重合性が高く、パターン化されたマスク層を詰まらせる可能性があり、ONONエッチング組成物に添加される流量が10sccm以上ではさらにエッチストップを引き起こす可能性がある。CHIをエッチングレシピに追加すると、O流量のプロセスウインドウが68sccmから74~76sccmにシフトする。最適化されたCHIレシピの場合:ONONエッチング速度(ER):510nm/分(CHIなし、測定されたONON ERは516nm/分である);ONON/a-C選択率:11.2~12(CHIなし、ONON/a-C選択率は11.4~12である)。図4~図9は、それぞれ添加剤CHIあり又はなしでのCのバイアス電力の違いによるER、選択率、側壁のボーイング、楕円率、限界寸法(CD)の比較である。全体として、CHIありのレシピは、CHIなしのレシピと比較して、バイアス電力への依存性が低いことを示している(ONONエッチング速度、選択率、ボーイング、楕円率、プロファイルCD)。
より具体的には、図6に示されているように、7000Wのバイアス電力では、レシピにCHIを加えてもa-Cマスクホールの有意な楕円率の変化は示されず、測定された楕円率は、CHIなしのレシピでは93%、CHIありのレシピでは94%であった。5600Wのバイアス電力では、CHIなしのレシピではバイアス電力の低下に伴ってa-Cマスクプロファイルが劣化する一方で、CHIありのレシピでは影響があまり観察されなかった。4200Wのバイアス電力では、CHIありのレシピでは、ER、選択率、a-Cマスクの楕円率、及び傾斜角などのエッチング性能の向上が示されている。表2にエッチング性能をまとめた。
まとめると、添加剤CHIを用いると、HARフィーチャのエッチフロント及び側壁上の表面パッシベーション(別名ポリマー層)が改善され、パッシベーションはプラズマエッチング条件(RF電力、プロセス時間など)の変動に応じて変化する。さらに、ハイドロフルオロカーボンエッチングガスに添加剤としてCHIを添加すると、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなく、バイアス電力が40%減少する。
実施例3:ポリマーの化学組成及びポリマーの電気伝導率
基板:ポリマーのみのI-V特性を得るために、SiO基板の代わりに、低抵抗率のSi基板(0.02Ω・cm未満)をポリマー堆積に使用した。水銀プローブを使用したI-V測定を行い易くするために、Si基板を1インチ×1インチのクーポンへと切断した。
ポリマー堆積:C、C、CI、C+CI、及びC+CIに対して同じ堆積プロセス条件を使用した;堆積したポリマーの厚さをエリプソメーターで測定した;イオンブロック領域上のポリマーについては、シールドクーポンの端から1mm離れた位置で厚さを測定した。
ラムツール実験条件:RFソース電力:750W;バイアス電力:1500W;Ar/エッチングガス(又はガス混合物)/O:250/15/0;エッチング時間:30秒。
図10は、様々なポリマー化学組成及びポリマーの電気伝導率である。電流(I)~電圧(V)の測定値は実施例1のものと同じであった。示されているように、同じ電場強度の下で、ハイドロフルオロカーボンへのヨウ素分子添加剤は、ポリマーを介してより高い測定電流及びより低い絶縁破壊電圧を誘導する。様々なポリマー及びヨウ素分子添加剤の0.2MV/cmにおける導電率は以下の通りである:CI>C+CI>C+CI>C>C。C-C:C-Fx/C-I比が高いポリマーほど、絶縁破壊電圧が高く、電気的強度が高い。C-F結合がポリマーの導電性に寄与していると考えられる。C-C結合を多く含むポリマーは、C-Fを多く含むポリマーよりも導電性が低い。
表3は、C-C:C-F/C-I(xは整数である)の結合濃度比を示している。C-C:C-F/C-Iの結合濃度比は、低い方から高い方にCI<C+CI<C<C+CI<Cである。C-C結合は非極性の共有結合であり;C-Fx/C-I結合は極性の共有結合である。導電率は0.2MV/cmの電場で計算され、0.2MV/cmの電場における導電率の増加は>10%であった。
様々な電場における異なる伝導機構のため、ポリマーの化学組成と電気伝導率がどのように相互に関連しているかを示すことは困難であるが、一般に、ポリマーにヨウ素を添加すると導電性が高くなり、その結果、実施例2に示されているように、エッチング速度、選択率、楕円率、プロファイルCDなどのエッチング性能を損なうことなしに、添加剤を使用しない場合と比較してバイアス電力が少なくとも約10%低くなり、さらにはバイアス電力が不要になる。
本発明の性質を説明するために本明細書で説明及び図示されたパーツの詳細、材料、ステップ、及び配置における多くの追加の変更が、添付の特許請求の範囲に記載されている本発明の原理及び範囲内で当業者によって行われ得ることは理解されるであろう。したがって、本発明は、上で示した実施例及び/又は添付の図面における具体的な実施形態に限定されることを意図するものではない。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。

Claims (20)

  1. 反応チャンバー内の基板に高アスペクト比(HAR)エッチングプロセス中にHAR構造を形成する方法であって、
    ハイドロフルオロカーボン又はフルオロカーボン化合物と添加剤化合物とを含むエッチャントの蒸気に前記基板を逐次的に又は同時に曝露することであって、前記基板が、その上に配置された膜と、前記膜上に配置されたパターン化されたマスク層とを有すること;
    プラズマを活性化して、活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と活性化された添加剤化合物とを生成すること;及び
    前記パターン化されたマスク層によって覆われていない前記膜と、前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物及び前記活性化された添加剤化合物との間でエッチング反応を進行させて、前記パターン化されたマスク層から前記膜を選択的にエッチングし、それによって前記HARパターン化構造を形成すること;
    を含む方法。
  2. 酸化剤を前記反応チャンバーに導入するステップをさらに含み、前記酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される、請求項1に記載の方法。
  3. 不活性ガスを前記反応チャンバーに導入するステップをさらに含み、前記不活性ガスが、He、Ar、Xe、Kr、Ne及びNからなる群から選択される、請求項1に記載の方法。
  4. 高導電性側壁パッシベーション層が前記HARパターン化構造の側壁上に形成される、請求項1に記載の方法。
  5. 前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物と前記活性化された添加剤化合物とを用いて形成された前記高導電性側壁パッシベーション層の導電率が、前記活性化された添加剤化合物を添加せずに前記活性化されたハイドロフルオロカーボン又はフルオロカーボン化合物を用いて形成された前記高導電性側壁パッシベーション層の前記導電率よりも少なくとも約10%高い、請求項1~4のいずれか一項に記載の方法。
  6. 前記ハイドロフルオロカーボン又はフルオロカーボン化合物が、CF、CHF、C、C、CHF、C、C、C、C、C~Cの飽和若しくは不飽和の直鎖、分岐、環状のハイドロフルオロカーボン、例えばC、CHF、CH、又はそれらの組合せを含む、請求項1に記載の方法。
  7. 前記ハイドロフルオロカーボン又はフルオロカーボン化合物がCである、請求項1に記載の方法。
  8. 前記添加剤化合物が次の式を有するケイ素、炭素、及び/又はヨウ素の元素を含む、請求項1に記載の方法:
    I、
    SiRI、
    SiR(2-x)
    SiRI(3-y)
    SiI(4-z)、又は
    (2n+1)
    (式中、n=1~10であり;x=1~2であり;y=1~3であり;z=1~3であり;R、R、R、及びRは、それぞれ独立して、H、C~C10の直鎖、分岐、又は環状の、飽和又は不飽和の、芳香族、複素環式の、部分的に又は完全にフッ素化された、置換又は無置換のアルキル基から選択され;RとR、RとR、又はRとRは連結して環状基を形成していてもよい)。
  9. 前記添加剤化合物が以下から選択される、請求項1~4及び6~8のいずれか一項に記載の方法
  10. 前記膜が、O及び/又はNを含み、任意選択的にB、C、P、As、Ga、In、Sn、Sb、Bi、及び/又はGe、並びにそれらの組合せなどのドーパントを含むケイ素含有膜である、請求項1~4及び6~8のいずれか一項に記載の方法。
  11. 前記パターン化されたマスク層が、a-C層、ドープされたa-C層、フォトレジスト層、反射防止層、有機平坦化層、ポリSi層、金属酸化物層、例えばTi、Al、Zr、Hfなどの酸化物、及びそれらの組合せである、請求項1~4及び6~8のいずれか一項に記載の方法。
  12. 前記膜に形成された前記HARパターン化構造が約1:1~約200:1のアスペクト比を有する、請求項1~4及び6~8のいずれか一項に記載の方法。
  13. 追加のエッチングガスを前記反応チャンバーに導入することをさらに含み、前記追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)、及びそれらの組合せからなる群から選択される、請求項1~4及び6~8のいずれか一項に記載の方法。
  14. HARパターン化構造を形成する方法であって、
    基板をC及びCHIの蒸気に逐次的に又は同時に曝露するステップであって、前記基板が、その上に配置された膜と、前記膜上に配置されたパターン化されたマスク層とを有するステップ;
    プラズマを活性化して、活性化されたCと活性化されたCHIとを生成するステップ;及び
    前記パターン化されたマスク層によって覆われていない前記膜と、前記活性化されたC及び前記CHIとの間でエッチング反応を進行させて、前記パターン化されたマスク層から前記膜を選択的にエッチングし、それによって前記HARパターン化構造を形成するステップ;
    を含む方法。
  15. 酸化剤を前記反応チャンバー中に導入するステップをさらに含み、前記酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される、請求項14に記載の方法。
  16. 不活性ガスを前記反応チャンバーに導入するステップをさらに含み、前記不活性ガスがHe、Ar、Xe、Kr、Ne、及びNからなる群から選択される、請求項14に記載の方法。
  17. 高導電性側壁パッシベーション層が前記HARパターン化構造の側壁上に形成される、請求項14に記載の方法。
  18. 前記活性化されたC及び前記活性化されたCHIを用いて形成された前記高導電性側壁パッシベーション層の導電率が、前記活性化されたCHIを添加せずに前記活性化されたCを用いて形成された前記高導電性側壁パッシベーション層の導電率よりも少なくとも約10%高い、請求項14~17のいずれか一項に記載の方法。
  19. 前記膜に形成された前記HARパターン化構造が約1:1~約200:1のアスペクト比を有する、請求項14~17のいずれか一項に記載の方法。
  20. 追加のエッチングガスを前記反応チャンバーに導入することをさらに含み、前記追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)、及びそれらの組合せからなる群から選択される、請求項14~17のいずれか一項に記載の方法。
JP2023538883A 2020-12-28 2021-12-16 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法 Pending JP2024500969A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/135,216 US20220223431A1 (en) 2020-12-28 2020-12-28 High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US17/135,216 2020-12-28
PCT/US2021/063683 WO2022146697A1 (en) 2020-12-28 2021-12-16 High conductive passivation layers and method of forming the same during high aspect ratio plasna etching

Publications (1)

Publication Number Publication Date
JP2024500969A true JP2024500969A (ja) 2024-01-10

Family

ID=82259627

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023538883A Pending JP2024500969A (ja) 2020-12-28 2021-12-16 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法

Country Status (7)

Country Link
US (1) US20220223431A1 (ja)
EP (1) EP4267692A1 (ja)
JP (1) JP2024500969A (ja)
KR (1) KR20230125268A (ja)
CN (1) CN116848215A (ja)
TW (2) TW202412105A (ja)
WO (1) WO2022146697A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
JP2022107943A (ja) * 2021-01-12 2022-07-25 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20240162042A1 (en) * 2022-10-26 2024-05-16 American Air Liquide, Inc. Etching methods with alternating non-plasma and plasma etching processes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US5840630A (en) * 1996-12-20 1998-11-24 Schlumberger Technologies Inc. FBI etching enhanced with 1,2 di-iodo-ethane
JP3961247B2 (ja) * 2001-08-17 2007-08-22 株式会社東芝 プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
WO2005043701A1 (en) * 2003-10-31 2005-05-12 Bookham Technology Plc Method for manufacturing gratings in semiconductor materials
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR20090017120A (ko) * 2007-08-14 2009-02-18 삼성전자주식회사 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
SG11201503321XA (en) * 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
CN112133676A (zh) * 2020-09-14 2020-12-25 江苏集创原子团簇科技研究院有限公司 一种在衬底上的高深宽比接触通孔的底部打开保护层的方法
JP2022065303A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
TW202236419A (zh) 2022-09-16
TW202412105A (zh) 2024-03-16
EP4267692A1 (en) 2023-11-01
WO2022146697A1 (en) 2022-07-07
KR20230125268A (ko) 2023-08-29
CN116848215A (zh) 2023-10-03
TWI824361B (zh) 2023-12-01
US20220223431A1 (en) 2022-07-14

Similar Documents

Publication Publication Date Title
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
TWI824361B (zh) 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
TW202414576A (zh) 側壁鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
WO2023244214A1 (en) Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching
WO2024145170A1 (en) Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition
WO2023114207A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230802

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230802

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20230804

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20230814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240531