KR20230125268A - 고전도성 패시베이션 층 및 고종횡비 플라즈마 에칭 동안 이를 형성하는 방법 - Google Patents
고전도성 패시베이션 층 및 고종횡비 플라즈마 에칭 동안 이를 형성하는 방법 Download PDFInfo
- Publication number
- KR20230125268A KR20230125268A KR1020237025411A KR20237025411A KR20230125268A KR 20230125268 A KR20230125268 A KR 20230125268A KR 1020237025411 A KR1020237025411 A KR 1020237025411A KR 20237025411 A KR20237025411 A KR 20237025411A KR 20230125268 A KR20230125268 A KR 20230125268A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- etching
- activated
- film
- har
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 102
- 238000002161 passivation Methods 0.000 title claims description 36
- 238000001020 plasma etching Methods 0.000 title description 12
- 238000005530 etching Methods 0.000 claims abstract description 150
- 239000000654 additive Substances 0.000 claims abstract description 135
- 230000000996 additive effect Effects 0.000 claims abstract description 87
- 150000001875 compounds Chemical class 0.000 claims abstract description 82
- 239000000758 substrate Substances 0.000 claims abstract description 67
- 230000008569 process Effects 0.000 claims abstract description 43
- 238000006243 chemical reaction Methods 0.000 claims abstract description 42
- -1 fluorocarbon compound Chemical class 0.000 claims abstract description 28
- 230000003213 activating effect Effects 0.000 claims abstract description 8
- 238000000059 patterning Methods 0.000 claims abstract description 3
- 239000007789 gas Substances 0.000 claims description 90
- 229910052710 silicon Inorganic materials 0.000 claims description 60
- 239000010703 silicon Substances 0.000 claims description 54
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 53
- 229910052799 carbon Inorganic materials 0.000 claims description 48
- 229910052740 iodine Inorganic materials 0.000 claims description 33
- 239000011261 inert gas Substances 0.000 claims description 30
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 21
- 229910052760 oxygen Inorganic materials 0.000 claims description 20
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 19
- 229910052757 nitrogen Inorganic materials 0.000 claims description 17
- 239000007800 oxidant agent Substances 0.000 claims description 16
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 15
- 239000011630 iodine Substances 0.000 claims description 15
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 11
- 125000004122 cyclic group Chemical group 0.000 claims description 11
- 239000002019 doping agent Substances 0.000 claims description 11
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 229910052738 indium Inorganic materials 0.000 claims description 10
- 229920002120 photoresistant polymer Polymers 0.000 claims description 10
- 229910052718 tin Inorganic materials 0.000 claims description 10
- 229910052731 fluorine Inorganic materials 0.000 claims description 9
- 229910052787 antimony Inorganic materials 0.000 claims description 8
- 229910052785 arsenic Inorganic materials 0.000 claims description 8
- 229910052797 bismuth Inorganic materials 0.000 claims description 8
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 8
- 229910052733 gallium Inorganic materials 0.000 claims description 8
- 229910052698 phosphorus Inorganic materials 0.000 claims description 8
- 125000003118 aryl group Chemical group 0.000 claims description 7
- 229920006395 saturated elastomer Polymers 0.000 claims description 7
- 229910052786 argon Inorganic materials 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- 229910052743 krypton Inorganic materials 0.000 claims description 6
- 229910052724 xenon Inorganic materials 0.000 claims description 6
- LMSLTAIWOIYSGZ-XIXRPRMCSA-N (3s,4r)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-XIXRPRMCSA-N 0.000 claims description 5
- NLOLSXYRJFEOTA-OWOJBTEDSA-N (e)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C\C(F)(F)F NLOLSXYRJFEOTA-OWOJBTEDSA-N 0.000 claims description 5
- NLOLSXYRJFEOTA-UPHRSURJSA-N (z)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C/C(F)(F)F NLOLSXYRJFEOTA-UPHRSURJSA-N 0.000 claims description 5
- XTGYEAXBNRVNQU-UHFFFAOYSA-N 1,1,1,2,2,3,3-heptafluoro-3-iodopropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)I XTGYEAXBNRVNQU-UHFFFAOYSA-N 0.000 claims description 5
- BBZVTTKMXRPMHZ-UHFFFAOYSA-N 1,1,1,2,3,3,3-heptafluoro-2-iodopropane Chemical compound FC(F)(F)C(F)(I)C(F)(F)F BBZVTTKMXRPMHZ-UHFFFAOYSA-N 0.000 claims description 5
- CCVRBOAAPJPHKL-UHFFFAOYSA-N 1,1,2,2,3-pentafluorocyclobutane Chemical compound FC1CC(F)(F)C1(F)F CCVRBOAAPJPHKL-UHFFFAOYSA-N 0.000 claims description 5
- QMIWYOZFFSLIAK-UHFFFAOYSA-N 3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene Chemical compound FC(F)(F)C(=C)C(F)(F)F QMIWYOZFFSLIAK-UHFFFAOYSA-N 0.000 claims description 5
- 241000238558 Eucarida Species 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- 229910044991 metal oxide Inorganic materials 0.000 claims description 5
- 150000004706 metal oxides Chemical class 0.000 claims description 5
- 229910052754 neon Inorganic materials 0.000 claims description 5
- 229910052719 titanium Inorganic materials 0.000 claims description 5
- LMSLTAIWOIYSGZ-LWMBPPNESA-N (3s,4s)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-LWMBPPNESA-N 0.000 claims description 4
- AKQMZZOTFNLAQJ-UHFFFAOYSA-N 1,1,2,2-tetrafluorocyclobutane Chemical compound FC1(F)CCC1(F)F AKQMZZOTFNLAQJ-UHFFFAOYSA-N 0.000 claims description 4
- 125000000217 alkyl group Chemical group 0.000 claims description 4
- 125000000623 heterocyclic group Chemical group 0.000 claims description 4
- 229910052726 zirconium Inorganic materials 0.000 claims description 4
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- 229940126062 Compound A Drugs 0.000 claims 1
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 claims 1
- 239000001273 butane Substances 0.000 claims 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 196
- 239000010408 film Substances 0.000 description 70
- 229910052814 silicon oxide Inorganic materials 0.000 description 41
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 40
- 239000000463 material Substances 0.000 description 40
- 235000012431 wafers Nutrition 0.000 description 38
- 229910003481 amorphous carbon Inorganic materials 0.000 description 36
- 229920000642 polymer Polymers 0.000 description 31
- 229910052581 Si3N4 Inorganic materials 0.000 description 28
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 28
- 239000000203 mixture Substances 0.000 description 18
- 230000005684 electric field Effects 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 13
- UAJUXJSXCLUTNU-UHFFFAOYSA-N pranlukast Chemical compound C=1C=C(OCCCCC=2C=CC=CC=2)C=CC=1C(=O)NC(C=1)=CC=C(C(C=2)=O)C=1OC=2C=1N=NNN=1 UAJUXJSXCLUTNU-UHFFFAOYSA-N 0.000 description 12
- 229960004583 pranlukast Drugs 0.000 description 12
- 239000000126 substance Substances 0.000 description 11
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 10
- 229910052751 metal Inorganic materials 0.000 description 10
- 239000002184 metal Substances 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229920005591 polysilicon Polymers 0.000 description 10
- 239000000523 sample Substances 0.000 description 10
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 9
- 229910052753 mercury Inorganic materials 0.000 description 9
- 229910021419 crystalline silicon Inorganic materials 0.000 description 8
- 238000005259 measurement Methods 0.000 description 8
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 7
- 239000000376 reactant Substances 0.000 description 7
- FYZZXHRBLUPELN-UHFFFAOYSA-N difluoro-iodo-(trifluoromethyl)silane Chemical compound FC(F)(F)[Si](F)(F)I FYZZXHRBLUPELN-UHFFFAOYSA-N 0.000 description 6
- CSRZQMIRAZTJOY-UHFFFAOYSA-N trimethylsilyl iodide Chemical compound C[Si](C)(C)I CSRZQMIRAZTJOY-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 5
- 230000015556 catabolic process Effects 0.000 description 5
- 150000003254 radicals Chemical class 0.000 description 5
- 229910052707 ruthenium Inorganic materials 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- PGRFXXCKHGIFSV-UHFFFAOYSA-N 1,1,1,2,2,3,3,4,4-nonafluoro-4-iodobutane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)I PGRFXXCKHGIFSV-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 229910021417 amorphous silicon Inorganic materials 0.000 description 4
- 230000003667 anti-reflective effect Effects 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- YSLFMGDEEXOKHF-UHFFFAOYSA-N difluoro(iodo)methane Chemical compound FC(F)I YSLFMGDEEXOKHF-UHFFFAOYSA-N 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 229910052737 gold Inorganic materials 0.000 description 4
- 239000010931 gold Substances 0.000 description 4
- SNHMUERNLJLMHN-UHFFFAOYSA-N iodobenzene Chemical compound IC1=CC=CC=C1 SNHMUERNLJLMHN-UHFFFAOYSA-N 0.000 description 4
- HVTICUPFWKNHNG-UHFFFAOYSA-N iodoethane Chemical compound CCI HVTICUPFWKNHNG-UHFFFAOYSA-N 0.000 description 4
- INQOMBQAUSQDDS-UHFFFAOYSA-N iodomethane Chemical compound IC INQOMBQAUSQDDS-UHFFFAOYSA-N 0.000 description 4
- FMKOJHQHASLBPH-UHFFFAOYSA-N isopropyl iodide Chemical compound CC(C)I FMKOJHQHASLBPH-UHFFFAOYSA-N 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- PVWOIHVRPOBWPI-UHFFFAOYSA-N n-propyl iodide Chemical compound CCCI PVWOIHVRPOBWPI-UHFFFAOYSA-N 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- 229910052697 platinum Inorganic materials 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- PPLMQFARLJLZAO-UHFFFAOYSA-N triethyl(iodo)silane Chemical compound CC[Si](I)(CC)CC PPLMQFARLJLZAO-UHFFFAOYSA-N 0.000 description 4
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- SKBLJQADGZYMKA-UHFFFAOYSA-N OPOP Chemical compound OPOP SKBLJQADGZYMKA-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000005587 bubbling Effects 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- HAXCTOYUHJOQQF-UHFFFAOYSA-N difluoro-iodo-(1,1,2,2,2-pentafluoroethyl)silane Chemical compound FC(C(F)(F)[Si](F)(F)I)(F)F HAXCTOYUHJOQQF-UHFFFAOYSA-N 0.000 description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- 230000015654 memory Effects 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 241000894007 species Species 0.000 description 3
- 230000008016 vaporization Effects 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- 102100040550 FXYD domain-containing ion transport regulator 4 Human genes 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- 229910005542 GaSb Inorganic materials 0.000 description 2
- 229910005898 GeSn Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- OKJPEAGHQZHRQV-UHFFFAOYSA-N Triiodomethane Natural products IC(I)I OKJPEAGHQZHRQV-UHFFFAOYSA-N 0.000 description 2
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 2
- YCOXTKKNXUZSKD-UHFFFAOYSA-N as-o-xylenol Natural products CC1=CC=C(O)C=C1C YCOXTKKNXUZSKD-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 108010070092 corticosteroid hormone-induced factor Proteins 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 229910052805 deuterium Inorganic materials 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- YJRAPLCGFDFENB-UHFFFAOYSA-N difluoro-iodo-(2-methylphenyl)silane Chemical compound CC1=CC=CC=C1[Si](F)(F)I YJRAPLCGFDFENB-UHFFFAOYSA-N 0.000 description 2
- AIHCVGFMFDEUMO-UHFFFAOYSA-N diiodosilane Chemical compound I[SiH2]I AIHCVGFMFDEUMO-UHFFFAOYSA-N 0.000 description 2
- 238000004821 distillation Methods 0.000 description 2
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000013467 fragmentation Methods 0.000 description 2
- 238000006062 fragmentation reaction Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910052703 rhodium Inorganic materials 0.000 description 2
- 239000010948 rhodium Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- XKYJNVLOULBJMB-UHFFFAOYSA-N trifluoro(iodo)silane Chemical compound F[Si](F)(F)I XKYJNVLOULBJMB-UHFFFAOYSA-N 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910017008 AsF 6 Inorganic materials 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910021115 PF 6 Inorganic materials 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 229910052810 boron oxide Inorganic materials 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical group 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 230000037427 ion transport Effects 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 description 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229920005588 metal-containing polymer Polymers 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000012264 purified product Substances 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 238000001878 scanning electron micrograph Methods 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- NHDIQVFFNDKAQU-UHFFFAOYSA-N tripropan-2-yl borate Chemical compound CC(C)OB(OC(C)C)OC(C)C NHDIQVFFNDKAQU-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-GQALSZNTSA-N tris(trideuteriomethyl)borane Chemical compound [2H]C([2H])([2H])B(C([2H])([2H])[2H])C([2H])([2H])[2H] WXRGABKACDFXMG-GQALSZNTSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
- 150000003738 xylenes Chemical class 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
- C09K13/04—Etching, surface-brightening or pickling compositions containing an inorganic acid
- C09K13/08—Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
반응 챔버 내의 기판에서 고종횡비(HAR) 에칭 공정 동안 HAR 구조를 형성하는 방법이 개시되며, 이 방법은
히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물을 포함하는 에칭제의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물을 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함한다.
히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물을 포함하는 에칭제의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물을 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함한다.
Description
관련 출원의 상호 참조
본 출원은 2020년 12월 28일자로 출원된 미국 출원 제17/135,216호의 이익을 주장하며, 모든 목적을 위해 그 전체가 본원에 참조로 포함된다.
기술분야
고종횡비(HAR) 플라즈마 에칭 동안 Si, C, 및/또는 요오드 원소를 도핑하고/하거나 환형, 방향족, 헤테로시클릭 화학구조를 도입함으로써 에칭 가스를 첨가제로서 사용하여 측벽 상에 고전도성 측벽 패시베이션 층을 형성하는 방법이 개시된다.
50년 이상 동안, 무어의 법칙에 따라 반도체 제조업체는 경쟁 제품보다 비용 우위를 유지하면서 트랜지스터/칩의 속도와 기능을 향상시키기 위해 디바이스 피처 크기를 지속적으로 축소하고 있다. 이에 따른 피처의 점점 더 작아지는 크기와 극적으로 증가하는 종횡비에 대한 요구를 성공적으로 충족하기 위해 제작 공정에 새로운 과제가 발생한다. 예를 들어, 3차원 게이트 스택 NAND 플래시 메모리(3D-NAND)를 제작하려면 종횡비가 40 초과인 90개 이상의 NAND 층을 통해 작은 홀 피처를 에칭할 수 있어야 한다. 극고종횡비(HAR) 에칭을 사용하여 모든 웨이퍼에 1조개 초과의 홀을 에칭해야 한다.
에칭된 피처의 수직 등방성은 플라즈마 시스(plasma sheath) 형성 동안 이온 수송에 의해 얻어진다. 원칙적으로 양입자와 음입자는 홀 내부에서 동일한 궤적을 가져야 하며, HAR 홀 바닥에서 전하를 균등화해야 한다. 그러나 전자 음영 효과로 인해, HAR 마스크 패턴의 하부에 전하가 축적되며, 이는 불완전한 에칭, 보우잉(bowing), 트위스팅(twisting) 및 HAR 스택 상부와 하부 사이의 임계 치수(CD) 변화가 발생할 수 있다. 따라서 업계에서는 에칭 프로파일과 CD 제어를 개선하기 위해 HAR 에칭 동안 측벽 차지업(charge-up)을 제거하거나 최소화하기 위해 많은 노력을 기울여 왔으며 계속 노력하고 있다.
콘택트 홀 왜곡(contact hole distortion)은 콘택트 홀 측벽의 비대칭 하전으로 인해 발생하는 것으로 알려져 있으며, 이는 콘택트 홀의 국소 전기장을 변화시키고 콘택트 홀 내의 반응성 이온의 방향을 변경한다(문헌[Kim et al., J. Vac. Sci. Technol. A, Vol. 33, 021303-5 (2015)] 및 문헌[Negishi et al, J. Vac. Sci. Technol. B, Vol. 35, 051205 (2017)] 참조). HAR 에칭에서, 마스크 열화를 평가하기 위해 타원율이 사용되어 왔다. (100%에 가까운) 더 높은 타원율은 HAR 홀 트위스팅을 방지하고 에칭 프로파일 왜곡을 줄이는 데 도움이 될 수 있다.
다음은 HAR 에칭 동안 패시베이션 층 특성을 조정하는 데 사용되어 온 방법의 몇 가지 예로서, 1) 공정 챔버로의 가스/화학물질 전달 설정의 복잡성 증가; 2) 상부 및 하부 HAR 피처에서 패시베이션 층의 불량한 균일성; 3) 챔버 세정 문제 (완전히 제거하기가 어려운, 챔버 벽에 증착된 일부 금속 함유 중합체 문제) 등을 기본적으로 수반한다.
Sandhu 등의 US 20070049018은 하드 포토레지스트 마스크를 사용하여 산화물 층에 실질적으로 수직인 콘택트 홀을 HAR 콘택트 에칭하는 방법을 개시한다. 플라즈마 에칭 가스는 CH2F2, C4F8, C3H3F5, C4F8, CHF3, C2F6, C2HF5, CH3F, 또는 이들의 조합 중 하나를 포함하는 탄화수소 플루오라이드이다. 도펀트 분자는 HI, CH3I, 탄소, 칼륨, 칼슘, PF6, BF3, 클로라이드, AsF6 또는 이들의 조합 중 하나를 포함한다. 도핑된 플라즈마 에칭 가스는 에칭 공정 동안 콘택트 홀의 측벽을 따라 형성된 탄소 사슬 중합체를 전도성 상태로 도핑하여 산화물 층을 통해 실질적으로 수직인 콘택트 홀을 에칭한다. 탄소 사슬 중합체의 전도성 상태는 측벽을 따른 전하 축적을 감소시켜 전하를 누출시키고 활성 영역 랜딩 영역과의 적절한 정렬을 보장함으로써 콘택트 홀의 트위스팅을 방지한다. 에칭은 하부의 기판에서 중지된다.
Bera 등의 US 7846846B2는 각 콘택트 개구부(contact opening)의 측벽에 전도성이 높은 박막을 형성하여 에칭 프로파일의 보우잉 또는 벤딩(bending)을 방지하면서 HAR 접점 개구부를 에칭하는 방법을 개시한다. 측벽 상의 박막의 전도도는 에칭 공정 동안 주기적으로 수행되는 이온 충돌(ion bombardment)에 의해 향상된다. 에칭제는 C2F4, C4F6, CH2F2 또는 C4F8, C1 내지 C5 포화 또는 불포화 선형, 분지형, 환형 히드로플루오로카본, 예컨대 C4H2F6, CHF3, CH2F2, 또는 이들의 조합 중 하나를 포함하는 플루오로탄소/플루오로탄화수소 가스이다.
Nikhil 등의 US9543158은 기판 상의 유전체 재료에 리세스된 피처를 형성하기 위한 다양한 방법, 장치 및 시스템을 개시한다. 일부 경우에 보호 코팅이 플라즈마 보조 원자층 증착, 변형 플라즈마 보조 원자층 증착, 또는 플라즈마 보조 화학 기상 증착을 사용하여 증착된다. 에칭 화학물질은 플루오로카본과 산소, C4F6, C4F3, N2, CO, CF4, 및 O2의 조합이다. 보호 층은 세라믹 재료 또는 유기 중합체이다. 붕소 산화물(BxOy) 및 붕소 질화물(BxNy)과 같은 붕소 함유 재료의 경우, 반응물은 트리이소프로필 보레이트([(CH3)2CHO]3B), 트리메틸붕소-d9(B(CD3)3) 등을 포함하지만 이로 한정되지 않는다. 규소 산화물(SixOy) 및 규소 질화물(SixNy)과 같은 규소 함유 재료의 경우, 반응물은 예를 들어 실란, 할로실란 또는 아미노실란일 수 있다.
HAR 에칭 공정은 메모리 디바이스를 위한 핵심 공정이다. HAR 기능을 위한 유효 바이어스 전력을 증가시키는 것에 의한 이온 에너지 제어가 계속 발전하고 있다 HAR 홀 내부의 에칭 전면 상의 전하 축적을 극복하기 위해 이온 에너지를 증가시키는 데 많은 노력을 기울였다. 지난 몇 년간의 바이어스 전력 추세를 기반으로, 현재 필요한 전력은 20 kW를 초과할 것이다. 바이어스 전력이 증가하면 많은 문제가 발생한다. 아크 방지와 효과적인 냉각, 및 전력 공급 시스템이 모두 고전력 성능을 가능하게 하는 데 중요하다. 더욱이, 중성 화학종은 홀을 통한 확산에 의해서만 전달되기 때문에, 종횡비가 증가함에 따라 중성 플럭스를 보상하기가 더 어렵다.
따라서, 바이어스 전력을 감소시키면서 고전도성 측벽 패시베이션 층을 달성하는 것이 요구된다.
반응 챔버 내의 기판에서 고종횡비(HAR) 에칭 공정 동안 HAR 구조를 형성하는 방법이 개시되며, 이 방법은
히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물을 포함하는 에칭제의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물을 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함하며, 산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택됨;
산화제는 O2임;
산화제는 O3임;
산화제는 CO임;
챔버에 도입 전에 에칭 화합물, 첨가제 및 산소 함유 가스를 혼합하여 혼합물을 생성함;
산소 함유 가스와 별도로 에칭 화합물 및 첨가제를 도입함;
산소 함유 가스를 연속적으로 도입하고 요오드-함유 에칭 화합물을 도입함;
산소 함유 가스는 에칭 화합물, 첨가제 및 산소 함유 가스의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성함;
산소 함유 가스는 에칭 화합물, 첨가제 및 산소 함유 가스의 총 부피의 대략 0.01% v/v 내지 대략 10% v/v를 구성함;
반응 챔버 내로 불활성 가스를 도입하는 단계를 포함하며, 불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택됨;
불활성 가스는 Ar임;
불활성 가스는 Xe임;
불활성 가스는 Kr임;
챔버에 도입 전에 에칭 화합물, 첨가제 및 불활성 가스를 혼합하여 혼합물을 생성함;
불활성 가스와 별도로 에칭 화합물 및 첨가제를 도입함;
불활성 가스를 연속적으로 도입하고 에칭 화합물 및 첨가제를 펄스식으로 도입함;
불활성 가스는 에칭 화합물, 첨가제 및 불활성 가스의 증기의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성함;
불활성 가스는 에칭 화합물, 첨가제 및 불활성 가스의 증기의 총 부피의 대략 90% v/v 내지 대략 99% v/v를 구성함;
기판은 Si 웨이퍼임;
기판은 결정질 실리콘 웨이퍼임;
패턴화된 구조를 형성함;
패턴화된 구조는 3D NAND 개구(aperture)임;
패턴화된 구조는 콘택트 홀(contact hole)임;
패턴화된 구조는 3D NAND 콘택트 홀임;
패턴화된 구조는 DRAM 콘택트임;
패턴화된 구조는 채널 홀임;
패턴화된 구조는 3D NAND 채널 홀임;
패턴화된 구조는 3D NAND 슬릿 콘택트(slit contact)임;
개구는 계단 콘택트(staircase contact)임;
개구는 자가-정렬된 콘택트(self-aligned contact)임;
개구는 자가-정렬된 비아(self-aligned via)임;
개구는 수퍼 비아(super via)임;
플라즈마 활성화된 플루오로카본 화합물 및 활성화된 첨가제 화합물은 필름과 반응하여 휘발성 부산물을 형성함;
휘발성 부산물은 반응 챔버로부터 제거됨;
HAR 패턴화된 구조의 측벽 상에 고전도성 측벽 패시베이션 층이 형성됨;
활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물로 형성된 고전도성 측벽 패시베이션 층의 전도도는 활성화된 첨가제 화합물의 첨가 없이 활성화된 히드로플루오로카본 또는 플루오로카본 화합물로 형성된 고전도성 측벽 패시베이션 층의 전도도보다 적어도 대략 10% 더 높음;
히드로플루오로카본 또는 플루오로카본 화합물은 CF4, CH3F, C2F6, C3F8, C2HF5, C5F8, C6F6, C4F6, C4F8, C1 내지 C5 포화 또는 불포화 선형, 분지형, 환형 히드로플루오로카본, 예컨대 C4H2F6, CHF3, CH2F2, 또는 이들의 조합을 포함함;
히드로플루오로카본 또는 플루오로카본 화합물은 C4H2F6임;
첨가제 화합물은 규소, 탄소 및/또는 요오드 원소를 함유하며 하기 화학식을 가짐:
CnR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x),
SiRIyF(3-y),
SiIzF(4-z), 또는
CnF(2n+1)I
(상기 식에서, n은 1 내지 10이고; x는 1 내지 2이고; y는 1 내지 3이고; z는 1 내지 3이고; R, R1, R2 및 R3은 각각 독립적으로 H, C1-C10 선형, 분지형, 또는 환형, 포화 또는 불포화, 방향족, 헤테로시클릭, 부분적으로 또는 완전히 플루오르화된, 치환되거나 비치환된 알킬 기이고; R1과 R2, R2와 R3 또는 R1과 R3은 또한 연결되어 환형 기를 형성할 수 있음);
첨가제 화합물은
로부터 선택됨;
상기 개시된 첨가제 CR1R2R3I는
를 포함함;
상기 개시된 첨가제 SiR1R2R3I는
를 포함함;
상기 개시된 첨가제 SiR1R2IxF(2-x)는
를 포함함;
상기 개시된 첨가제 SiRIyF(3-y)는
를 포함함;
상기 개시된 첨가제 SiIzF(4-z)는
를 포함함;
개시된 첨가제 CnF(2n+1)I는 를 포함함;
첨가제 화합물은
요오도-메탄 CH3I (CAS 번호: 74-88-4),
요오도-벤젠 C6H5I (CAS 번호: 591-50-4),
2-요오도프로판 C3H7I (CAS 번호: 75-30-9),
1-요오도프로판 C3H7I (CAS 번호: 107-08-4),
1-요오도에탄 C2H5I (CAS 번호: 75-03-6),
퍼플루오로부틸 요오다이드 C4F9I (CAS 번호: 423-39-2),
디플루오로요오도메탄 CHIF2 (CAS 번호: 1493-03-4),
디플루오로요오도(펜타플루오로에틸)-실란 C2F7ISi (CAS 번호: 36972-59-5),
1-(디플루오로요오도실릴)-2-메틸-벤젠 C7H7F2ISi (CAS 번호: 174711-76-3),
디플루오로요오도(트리플루오로메틸)-실란 CF5ISi (CAS 번호: 27668-68-4),
트리에틸요오도-실란 C6H15ISi (CAS 번호: 1112-49-8),
플루오로트리요오도-실란 FI3Si (CAS 번호: 16865-60-4),
바이플루오로바이요오도-실란 F2I2Si (CAS 번호: 27669-15-4),
트리플루오로요오도-실란 F3ISi (CAS 번호: 27668-68-4),
요오도트리메틸-실란 C3H9ISi (CAS 번호: 16029-98-4), 또는
디요오도실란 SiH2I2 (CAS 번호: 13760-02-6)로부터 선택됨;
첨가제 화합물은 요오도-메탄 CH3I (CAS 번호: 74-88-4)임;
첨가제 화합물은 요오도-벤젠 C6H5I (CAS 번호: 591-50-4)임;
첨가제 화합물은 2-요오도프로판 C3H7I (CAS 번호: 75-30-9)임;
첨가제 화합물은 1-요오도프로판 C3H7I (CAS 번호: 107-08-4)임;
첨가제 화합물은 1-요오도에탄 C2H5I (CAS 번호: 75-03-6)임;
첨가제 화합물은 퍼플루오로부틸 요오다이드 C4F9I (CAS 번호: 423-39-2)임;
첨가제 화합물은 디플루오로요오도메탄 CHIF2 (CAS 번호: 1493-03-4)임;
첨가제 화합물은 디플루오로요오도(펜타플루오로에틸)-실란 C2F7ISi (CAS 번호: 36972-59-5)임;
첨가제 화합물은 디플루오로요오도(펜타플루오로에틸)-실란 C2F7ISi (CAS 번호: 36972-59-5)임;
첨가제 화합물은 1-(디플루오로요오도실릴)-2-메틸-벤젠 C7H7F2ISi (CAS 번호: 174711-76-3)임;
첨가제 화합물은 디플루오로요오도(트리플루오로메틸)-실란 CF5ISi (CAS 번호: 27668-68-4)임;
첨가제 화합물은 트리에틸요오도-실란 C6H15ISi (CAS 번호: 1112-49-8)임;
첨가제 화합물은 플루오로트리요오도-실란 FI3Si (CAS 번호: 16865-60-4)임;
첨가제 화합물은 바이플루오로바이요오도-실란 F2I2Si (CAS 번호: 27669-15-4)임;
첨가제 화합물은 트리플루오로요오도-실란 F3ISi (CAS 번호: 27668-68-4)임;
첨가제 화합물은 요오도트리메틸-실란 C3H9ISi (CAS 번호: 16029-98-4)임;
첨가제 화합물은 디요오도실란 SiH2I2 (CAS 번호: 13760-02-6)임;
필름은 O 및/또는 N을 함유하는 규소-함유 필름이며 선택적으로 도펀트, 예컨대 B, C, P, As Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합을 함유함;
필름은 O를 함유하는 규소-함유 필름임;
필름은 N을 함유하는 규소-함유 필름임;
필름은 선택적으로 도펀트, 예컨대 B, C, P, As Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합을 함유하는 규소-함유 필름임;
규소-함유 필름은 산화규소(SiO), 질화규소(SiN), 결정질 Si, 폴리-규소(p-Si), 다결정질 규소, 비정질 규소, 저-k SiCOH, SiOCN, SiC, SiON 및 SiaObHcCdNe(여기서, a>0; b, c, d 및 e ≥ 0임)의 층, 교번하는 SiO 및 SiN (ONON) 층, 교번하는 SiO 및 p-Si (OPOP) 층을 포함함;
규소-함유 필름은 산소, 질소, 탄소, 수소, 또는 이들의 조합을 포함함;
규소-함유 필름은 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 4의 범위이고, z는 0 내지 약 1의 범위이고, k는 0 내지 1의 범위임)임;
규소-함유 필름은 SiO 층을 포함함;
규소-함유 필름은 SiN 층임;
규소-함유 필름은 교번하는 SiO 및 SiN (ONON) 층을 포함함;
규소-함유 필름은 교번하는 SiO 및 p-Si (OPOP) 층을 포함함;
규소-함유 필름은 도펀트, 예컨대 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge를 포함함;
교번하는 층들은 산화규소, 질화규소, 폴리실리콘, 결정질 실리콘, SiOCH, SiON, SiaObCcNdHe(여기서, a>0; b, c, d 및 e ≥ 0) 또는 이들의 조합의 층을 포함함;
교번하는 층들은 산소 원자, 질소 원자, 탄소 원자, 수소 원자 또는 이들의 조합을 포함함;
교번하는 층들은 규소-함유 필름임;
교번하는 층들은 산화규소의 층 및 질화규소의 층을 포함함;
교번하는 층들은 산화규소 및 질화규소의 교번하는 층들을 포함함;
교번하는 층들은 산화규소 및 질화규소의 교번하는 층들임;
교번하는 층들은 산화규소의 층 및 폴리실리콘의 층을 포함함;
교번하는 층들은 산화규소 및 폴리실리콘의 교번하는 층들을 포함함;
교번하는 층들은 산화규소 및 폴리실리콘의 교번하는 층들임;
교번하는 층들은 하드마스크 층으로부터 선택적으로 에칭됨;
교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
산화규소 및 질화규소의 교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
산화규소 및 질화규소의 교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
산화규소 및 폴리실리콘의 교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
산화규소 및 폴리실리콘의 교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
산화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
산화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
산화규소 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
질화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
질화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
질화규소 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
폴리실리콘 층은 하드마스크 층으로부터 선택적으로 에칭됨;
폴리실리콘 층은 a-C 층으로부터 선택적으로 에칭됨;
폴리실리콘 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
규소-함유 필름은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층 또는 유기 평탄화 층으로부터 선택적으로 에칭됨;
산화규소 층은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층 또는 유기 평탄화 층으로부터 선택적으로 에칭됨;
에칭 화합물은 산화규소 층 및 질화규소 층 둘 모두를 높은 에칭 속도로 에칭함;
패턴화된 마스크 층은 a-C 층, a 도핑된 a-C 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층, 폴리-Si 층, 금속 산화물 층, 예컨대 Ti, Al, Zr, Hf 등의 산화물, 및 이들의 조합임.
하드마스크 층이 규소-함유 층 상에 배치됨;
하드마스크 층은 패턴화된 하드마스크 층임;
하드마스크 층은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층 또는 이들의 조합임;
하드마스크 층은 CVD, PECVD, ALD, PEALD 또는 스핀 온 증착된(spin on deposited, SOD) 비정질 탄소 또는 도핑된 비정질 탄소, 규소-함유 스핀 온 마스크, 또는 탄소-함유 스핀 온 마스크의 층임;
하드마스크 층은 비정질 탄소(a-C) 층임;
하드마스크 층은 도핑된 탄소 층임;
도핑된 비정질 탄소 층은 붕소-도핑된 a-C 층임;
도핑된 비정질 탄소 층은 텅스텐-도핑된 a-C 층임;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1임;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 20:1임;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 21:1 내지 대략 60:1임;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 21:1 내지 대략 200:1임;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 61:1 내지 대략 200:1임;
반응 챔버 내로 추가 에칭 가스를 도입하는 단계를 추가로 포함하며, 추가 에칭 가스는 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 및 이들의 조합으로 이루어진 군으로부터 선택됨;
추가 에칭 가스와 별도로 에칭 화합물 및 첨가제를 도입함;
에칭 화합물 및 첨가제에 대략 0.01% v/v 내지 대략 99.99% v/v의 추가 에칭 가스를 첨가함;
RF 전력을 적용하여 플라즈마를 활성화함;
대략 25 W 내지 대략 100,000 W 범위의 RF 전력에 의해 플라즈마를 활성화함;
첨가제를 사용하지 않은 에칭과 비교하여, 히드로플루오로카본 또는 플루오로카본과 함께 첨가제를 사용했을 때 바이어스 전력이 낮음;
첨가제를 사용하지 않은 경우보다 히드로플루오로카본 또는 플루오로카본과 함께 첨가제를 사용했을 때 바이어스 전력이 적어도 대략 10% 더 낮음;
에칭 압력은 대략 1 mTorr 내지 대략 100 Torr의 범위임;
에칭 압력은 대략 1 mTorr 내지 대략 50 Torr의 범위임;
에칭 압력은 대략 1 mTorr 내지 대략 10 Torr의 범위임;
에칭 압력은 대략 1 mTorr 내지 대략 50 mTorr의 범위임;
에칭 화합물 및 첨가제의 증기를 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
에칭 화합물의 증기를 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
첨가제의 증기를 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
기판을 대략 -100℃ 내지 대략 500℃ 범위의 온도로 유지함;
기판을 대략 20℃ 내지 대략 150℃ 범위의 온도로 유지함;
기판을 대략 20℃ 내지 대략 110℃ 범위의 온도로 유지함; 및
사중극자 질량 분석계, 광학 방출 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴(tool)에 의해 플라즈마 하에서 에칭 화합물을 측정함.
HAR 패턴화된 구조를 형성하는 방법이 또한 개시되며, 이 방법은
C4H2F6 및 CH3I의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 C4H2F6 및 활성화된 CH3I를 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 C4H2F6 및 CH3I 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함하며, 산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택됨;
반응 챔버 내로 불활성 가스를 도입하는 단계를 추가로 포함하며, 불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택됨;
HAR 패턴화된 구조의 측벽 상에 고전도성 측벽 패시베이션 층이 형성됨;
활성화된 C4H2F6 및 활성화된 CH3I로 형성된 고전도성 측벽 패시베이션 층의 전도도는 활성화된 CH3I의 첨가 없이 활성화된 C4H2F6으로 형성된 고전도성 측벽 패시베이션 층의 전도도보다 적어도 대략 10% 더 높음;
필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1임;
반응 챔버 내로 추가 에칭 가스를 도입하는 단계를 추가로 포함하며, 추가 에칭 가스는 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 및 이들의 조합으로 이루어진 군으로부터 선택됨;
필름은 O 및/또는 N을 함유하는 규소-함유 필름이며 선택적으로 도펀트, 예컨대 B, C, P, As Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합을 함유함.
표기법 및 명명법
하기 상세한 설명 및 청구범위는 당업계에 일반적으로 널리 알려진, 다수의 약어, 기호, 및 용어를 사용하며, 다음을 포함한다:
본원에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 바와 같이, 본문에서 또는 청구범위에서 "약(about, around)" 또는 "대략(approximately)"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 바와 같이, 텍스트 또는 청구항 내의 "실온"은 약 20℃ 내지 약 25℃를 의미한다.
"웨이퍼" 또는 "패턴화된 웨이퍼"라는 용어는, 기판 상의 규소-함유 필름들을 포함하는 임의의 기존 필름들의 스택 및 패턴 에칭을 위해서 형성된 규소-함유 필름들을 포함하는 임의의 기존 필름들의 스택 상의 패턴화된 하드마스크 층을 가지는 웨이퍼를 지칭한다.
"기판"이라는 용어는, 공정이 수행되는 재료 또는 재료들을 지칭한다. 기판은, 에칭 공정이 수행되는 재료 또는 재료들을 갖는 웨이퍼 또는 패턴화된 웨이퍼를 지칭할 수 있다. 기판은 반도체, 광전지, 평판 패널, 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 웨이퍼일 수 있다. 기판은 또한, 이전 제조 단계에서 이미 기판 상에 증착된 상이한 재료들의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 인듐, 백금, 팔라듐, 니켈, 루테늄, 금 등), 또는 이들의 조합을 포함할 수 있다. 추가로, 기판은 평면형이거나 패턴화될 수 있다. 기판은 유기 패턴화된 포토레지스트 필름일 수 있다. 기판은 MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 디바이스 응용에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원 산화물계 재료 등)로서 사용되는 산화물의 층, 전극으로서 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN), 또는 CMOS 시스템에서 향후 규소를 대체할 더 강력한 경쟁자인, 금속-함유 또는 금속-합금계 필름(예를 들어, InGaAs, InxOy (x=0.5 내지 1.5, y=0.5 내지 1.5), InSnO (ITO), InGaZnO (IGZO), InN, InP, InAs, InSb, In2S3, 또는 In(OH)3, 등)을 포함할 수 있다. 당업자는, 본원에서 사용된 "필름" 또는 "층"이라는 용어가 표면 위에 놓인 또는 그 위에서 확전된 일부 재료의 두께를 지칭하며, 표면이 트렌치 또는 라인일 수 있다는 것을 인지할 것이다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 결합된 층들이 기판으로 지칭된다.
"패턴 에칭" 또는 "패턴화된 에칭"이라는 용어는, 패턴화된 하드마스크 층 아래의 규소-함유 필름들의 스택과 같은, 비-평면 구조의 에칭을 지칭한다.
본원에서 사용되는 바와 같이, 용어 "에칭하다" 또는 "에칭"은 에칭 가스와 기판 사이에서 이온 충돌(ion bombardment), 원격 플라즈마, 또는 화학 증기 반응을 통해 에칭 화합물 및/또는 플라즈마를 사용하여 재료를 제거하는 것을 의미하며 등방성 에칭 공정 및/또는 비등방성 에칭 공정을 지칭한다. 등방성 에칭 공정은, 기판 상의 재료의 일부가 제거되는 결과를 초래하는 에칭 화합물과 기판 사이의 화학적 반응을 포함한다. 이러한 유형의 에칭 공정은 화학적 건식 에칭, 증기 상 화학적 에칭, 열적 건식 에칭 등을 포함한다. 등방성 에칭 공정은 기판 내에서 측방향 또는 수평 에칭 프로파일을 생성한다. 등방성 에칭 공정은 기판 내의 미리-형성된 개구의 측벽 상에서 함몰부 또는 수평 함몰부를 생성한다. 비등방성 에칭 공정은, 이온 충돌이 수직 방향으로 화학적 반응을 가속하고, 그에 따라 수직 측벽이 마스킹된 피쳐의 에지를 따라서 기판에 직각으로 형성되는 플라즈마 에칭 공정(즉, 건식 에칭 공정)을 포함한다(문헌[Manos and Flamm, Thermal etching an Introduction, Academic Press, Inc. 1989 pp.12-13]). 플라즈마 에칭 공정은 기판 내에 수직 에칭 프로파일을 생성한다. 플라즈마 에칭 공정은 기판에 수직 비아, 개구, 트렌치, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 콘택트 홀, 슬릿 에칭, 자가-정렬된 콘택트, 자가-정렬된 비아, 수퍼 비아 등을 생성한다.
"마스크"라는 용어는 에칭에 저항하는 층을 지칭한다. 마스크 층은 에칭될 층 위에 위치될 수 있다. 마스크 층은 하드마스크 층으로도 지칭된다. 마스크 층은 비정질 탄소(a-C) 층, 도핑된 a-C 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층 또는 이들의 조합일 수 있다. 마스크 층은 또한 규소 층, 예컨대 폴리-Si, 금속 산화물, 예컨대 Ti, Al, Zr, Hf 등의 산화물, 및 이들의 조합일 수 있다.
"종횡비"라는 용어는 트렌치의 폭(또는 개구의 직경)에 대한 트렌치(또는 개구)의 높이의 비를 지칭한다.
"에칭 정지"라는 용어는 아래 층들을 보호하는 에칭될 층 아래의 층을 지칭한다.
"디바이스 채널"이라는 용어는 실제 디바이스의 일부인 층을 지칭하며, 이에 대한 임의의 손상은 디바이스 성능에 영향을 미칠 것이다.
"선택성"이라는 용어는 다른 재료의 에칭 속도에 대한 하나의 재료의 에칭 속도의 비를 의미한다. "선택적 에칭" 또는 "선택적으로 에칭하다"라는 용어는 다른 재료보다 하나의 재료를 더 많이 에칭하거나, 다시 말해서, 2개의 재료들 간에 1:1 초과 또는 미만의 에칭 선택성을 갖는 것을 의미한다.
"비아", "개구", "트렌치" 및 "홀"이라는 용어는 때때로 상호 교환 가능하게 사용되며, 일반적으로 중간층 절연체 내의 개구부를 의미한다.
용어 "저 바이어스 전력" 또는 "감소된 바이어스 전력"은 기준선 공정보다 낮은 바이어스 전력을 지칭한다.
본원에 사용되는 용어 "첨가제"는, 다른 에칭 화합물에 첨가되어 보우잉, CD, 타원율 등과 같은 프로파일 특성을 개선하는 것과 같은 에칭 특성의 일부 개선을 제공하는 화합물 또는 가스를 지칭한다.
본원에 사용되는 용어 "타원율"은 마스크 열화를 측정하는 방법을 지칭하며, 에칭 응용에서, 에칭된 홀의 타원율은 단순화를 위해 (짧은 홀 폭 / 긴 홀 폭) * 100%로 추정하였으며; 따라서, 완전한 원형의 타원율을 100%로 정의하였다.
본원에서 사용되는 바와 같이, 약어 "NAND"는 "Negated AND" 또는 "Not AND" 게이트를 지칭하고; 약어 "2D"는 평면 기판 상의 2차원 게이트 구조를 지칭하며; 약어 "3D"는 3차원 또는 수직 게이트 구조를 지칭하며, 여기서, 게이트 구조는 수직 방향으로 적층된다.
본원에 사용되는 용어 "수은 프로브"는 전기적 특성화를 위해 샘플에 빠르고 비파괴적으로 접촉하는 전기 프로브 디바이스를 지칭한다. 수은-샘플 접촉이 옴(비정류) 접촉인 경우, 전류-전압 계측을 사용하여 저항, 누설 전류 또는 전류-전압 특성을 측정할 수 있다. 저항은 벌크 샘플에 대해 또는 박막에 대해 측정될 수 있다. 박막은 수은과 반응하지 않는 임의의 재료로 구성될 수 있다. 본원에 사용된 수은 프로브의 수은 접점의 직경은 760 um이다.
본원에 사용되는 용어 "전도도"는 전기 저항의 역수이며 전류를 전도하는 재료의 능력을 나타낸다. 본원에서 사용되는 전기 전도도의 단위는 센티미터당 지멘스(S/cm)이다. 이는 수은 프로브를 사용하여 측정되며 (여기서, σ는 전도도이고, I는 수은 프로브에 의해 측정된 전류이고; T는 중합체의 두께이고; A는 수은 프로브의 접촉 면적임)의 해를 사용하여 0.2 MV/cm의 전기장 하에서 전류-전압 곡선으로부터 계산된다. 전기장은 적용된 전압을 중합체 두께로 나눈 값으로 정의된다. 예를 들어, 도 10에서, 전기장이 0.2 MV/cm인 경우, 측정된 전류는 1.92x10-11 Amp이다. C4F8 중합체의 전도도는 2.14x10-9 S/cm로서 계산된다.
본원에 사용되는 용어 "고전도성 측벽 패시베이션 층"은 측벽 패시베이션 층의 전기 전도도가, 2.14x10-9 S/cm으로 계산되는 C4F8 중합체의 전도도를 초과함을 의미한다.
본원에서, "필름" 및 "층"이라는 용어는 상호 교환 가능하게 사용될 수 있음에 유의한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 위에 놓인 또는 그 위에서 확전된 일부 재료의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 큰 것으로부터 트렌치 또는 라인 정도로 작은 것까지의 범위일 수 있다는 것을 인지할 것이다.
본원에서, 용어 "에칭 화합물", "에칭제" 및 "에칭 가스"는 에칭 화합물이 실온 및 주위 압력 하에서 가스 상태인 경우에 서로 교환 가능하게 사용될 수 있음에 유의한다. 에칭 화합물이 에칭 가스 또는 에칭제 또는 공정 가스에 상응하거나 그와 관련될 수 있으며, 에칭 가스 또는 에칭제 또는 공정 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 그 약어에 의해서 지칭될 수 있다는 것(예를 들어, Si는 규소를 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하며, C는 탄소를 지칭하고, H는 수소를 지칭하고, F는 불소를 지칭하고, 기타 등등으로 지칭한다는 것)을 이해하여야 한다.
화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.
규소-함유 필름, 예컨대 SiN 및 SiO가, 그의 적절한 화학양론에 대한 언급이 없이, 명세서 및 청구범위 전체를 전반에 걸쳐서 열거되어 있음에 유의한다. 규소-함유 필름은 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은 순수한 규소(Si) 층; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, 및 n은 0.1 내지 6의 범위(종점 포함)이다. 바람직하게는, 질화규소는 SikNl이며, 식 중, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게는, 질화규소는 Si3N4이다. 본원에서, 하기 설명에서의 SiN은 SikNl 함유 층을 나타내는 데 사용될 수 있다. 바람직하게는, 산화규소는 SinOm이며, 식 중, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게는, 산화규소는 SiO2이다. 본원에서, 하기 설명에서의 SiO는 SinOm 함유 층을 나타내는 데 사용될 수 있다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 재료와 같은, 유기계 또는 산화규소계 저-k 유전체 재료와 같은 산화규소계 유전체 재료일 수 있다. 규소-함유 필름은 또한 SiaObNc를 포함할 수 있으며, 여기서, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합과 같은 도펀트를 포함할 수 있다.
"독립적으로"라는 용어는 R 기를 기술하는 문맥에서 사용될 때 대상 R 기가 동일하거나 상이한 하첨자 또는 상첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 화학종에 대해서도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x)(여기서, M은 원자이고, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일할 수 있거나 R2와 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 게다가, 특별히 달리 언급되지 않는 한, 상이한 화학식에서 사용될 때 R 기들의 값은 서로 독립적인 것으로 이해되어야 한다.
범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 또 다른 실시 형태가, 그러한 범위 내의 모든 조합과 함께, 하나의 특정 값으로부터 및/또는 다른 특정 값까지라는 것이 이해되어야 한다.
본원에서 "일 실시 형태" 또는 "실시 형태"의 언급은, 실시 형태와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시 형태에 포함될 수 있다는 것을 의미한다. 명세서 내의 여러 곳에서의 "일 실시 형태에서"라는 문구의 출현 모두가 반드시 동일한 실시 형태를 지칭하는 것이 아니고, 별개의 또는 대안적인 실시 형태가 다른 실시 형태와 반드시 상호 배타적인 것도 아니다. "구현예"라는 용어에서도 마찬가지이다.
본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다.
도 1은 평면 웨이퍼에서 측정된, 첨가제 CH3I를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 2는 평면 웨이퍼에서 측정된, 첨가제 C4F9I를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 3은 평면 웨이퍼에서 측정된, 첨가제 SiH2I2를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 4는 각각 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 ER 및 선택성의 비교이다;
도 5는 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 측벽 보우잉의 비교이다;
도 6은 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 타원율의 비교이다;
도 7은 7000W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 임계 치수(CD)의 비교이다;
도 8은 5600W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 CD의 비교이다;
도 9는 4200W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 CD의 비교이다;
도 10은 다양한 중합체 화학 조성 및 중합체 전기 전도도이다.
도 1은 평면 웨이퍼에서 측정된, 첨가제 CH3I를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 2는 평면 웨이퍼에서 측정된, 첨가제 C4F9I를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 3은 평면 웨이퍼에서 측정된, 첨가제 SiH2I2를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다;
도 4는 각각 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 ER 및 선택성의 비교이다;
도 5는 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 측벽 보우잉의 비교이다;
도 6은 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 타원율의 비교이다;
도 7은 7000W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 임계 치수(CD)의 비교이다;
도 8은 5600W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 CD의 비교이다;
도 9는 4200W의 바이어스 전력으로 CH3I 첨가제를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 CD의 비교이다;
도 10은 다양한 중합체 화학 조성 및 중합체 전기 전도도이다.
고종횡비(HAR) 플라즈마 에칭 공정에서 Si, C, 및/또는 요오드 원소를 도핑함으로써 에칭 가스를 첨가제로서 사용하여 측벽 상에 고전도성 측벽 패시베이션 층을 형성하는 방법이 개시된다. 개시된 방법은 첨가제 또는 첨가제 화학물질을 HAR 플라즈마 에칭 공정에 사용하여 Si, C, 및/또는 요오드 원소로 도핑함으로써 고전도성 측벽 패시베이션 층을 형성한다. 고전도성 측벽 패시베이션 층은 중합체 패시베이션 층일 수 있다. 중합체 패시베이션 층의 전도성 상태는 측벽을 따른 전하 축적을 감소시켜, 전하를 누출시키고 낮은 바이어스 전력 수준 또는 바이어스 전력이 더 낮거나 없는 상태에서 임계 치수(CD) 변화의 적절한 제어를 보장함으로써 홀과 같은 HAR 구조의 트위스팅을 방지한다. 첨가제를 적용함으로써, 첨가제를 사용하지 않은 경우보다 바이어스 전력이 적어도 대략 10% 더 낮을 수 있거나, 심지어 바이어스 전력이 전혀 필요하지 않을 수도 있다.
개시된 방법은 또한 저 바이어스 에너지 플라즈마 에칭으로서 간주될 수 있는데, 측벽 전하가 최소화됨에 따라, 반응성 이온이 HAR 트렌치의 하부에 도달하는 데 필요한 플라즈마 바이어스 전력이 더 낮기 때문이다. 또한, 첨가제를 포함하는 개시된 에칭 가스 또는 가공 가스는 세정이 어려운 원소를 전혀 함유하지 않아서, 반응 챔버 오염을 또한 최소화하고 툴 유지보수/정지 시간을 감소시킨다.
개시된 방법은 감소된 바이어스 전력 하에서 미리 결정된 시간 동안 히드로플루오로카본 에칭제 가스 및 첨가제 가스를 사용하여 패턴화된 웨이퍼 또는 기판을 플라즈마 에칭하는 데에 관한 것이다. 미리 결정된 시간은 플라즈마를 활성화하기 전에 챔버 내의 압력 및 가스 유동을 안정화하기 위해 0초 내지 1000초의 범위일 수 있다.
개시된 첨가제 또는 첨가제 화학물질은 Si, C, 및/또는 요오드 원소를 함유하며 하기 화학식을 갖는다:
CR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x),
SiRIyF(3-y),
SiIzF(4-z), 또는
CnF(2n+1)I
상기 식에서, x는 1 내지 2이고; y는 1 내지 3이고; z는 1 내지 4이고; R, R1, R2 및 R3은 각각 독립적으로 H, D(중수소), C1-C10 선형, 분지형, 또는 환형, 포화 또는 불포화, 방향족, 헤테로시클릭, 부분적으로 또는 완전히 플루오르화된, 치환되거나 비치환된 알킬 기로부터 선택된다. R1과 R2, R2와 R3 또는 R1과 R3은 또한 연결되어 환형 기를 형성할 수 있다.
상기 개시된 첨가제 CR1R2R3I는
를 포함할 수 있다.
상기 개시된 첨가제 SiR1R2R3I는
를 포함할 수 있다.
상기 개시된 첨가제 SiR1R2IxF(2-x)는
를 포함할 수 있다.
상기 개시된 첨가제 SiRIyF(3-y)는
를 포함할 수 있다.
상기 개시된 첨가제 SiIzF(4-z)는
를 포함할 수 있다.
상기 개시된 첨가제 CnF(2n+1)I는
를 포함한다.
Si, C, 및/또는 요오드 원소를 함유하는 예시적인 개시된 첨가제가 표 1에 열거되어 있다. 이러한 분자들은 구매가능하거나, 당해 분야에 공지된 방법에 의해 합성될 수 있다. 이의 구조 화학식, CAS 번호 및 비점이 표에 포함되어 있다. Si, C, 및/또는 요오드 원소를 함유하는 개시된 첨가제는 또한 이들의 이성질체를 또한 포함할 수 있다.
[표 1]
높은 휘발성이 에칭 가스에 바람직하다는 점에서, 첨가제 화학물질 또한 높은 휘발성이 요구된다. 전술한 바와 같이, 작은 알킬 기가 첨가제를 위한 규소 상의 치환체로서 사용되며, 이는 휘발성이 높은 개시된 첨가제를 제공한다. 알킬 치환체를 사용함으로써 제공되는 또 다른 이점은 불량한 탄소 이탈 그룹의 생성으로 인해 측벽의 패시베이션에 탄소를 혼입할 가능성이 증가한다는 것이다. 측벽의 패시베이션에 방향족 기를 포함시키면 전도도가 증가할 수 있기 때문에 규소 상에 방향족 치환체를 사용하는 것도 새로운 분자에 제안된다. 방향족 고리의 전자공학이 전도성을 변경할 수 있기 때문에 방향족 기 상의 치환도 중요하다. 그러나, 당업자는 저휘발성 에칭 재료가 또한 사용될 수 있음을 이해할 것이다. 저휘발성 에칭 재료는 액체 저휘발성 에칭 재료를 통해 불활성 가스를 버블링하는 버블러 방법 등을 사용하여, 에칭 툴에 연결된 가스 라인 및 저휘발성 에칭 재료가 담긴 용기 또는 실린더를 가열하는 것을 포함하는, 저휘발성 에칭 재료의 공급원을 가열하여 휘발성을 증가시키는 것과 같은 다양한 방법을 통해 사용될 수 있다.
개시된 첨가제는 고종횡비 홀/트렌치의 측벽에 형성되는 패시베이션 층 특성을 조작하는데 적합하다. 측벽 패시베이션과 하향 에칭은 동시에 일어난다. 패시베이션 층은 플라즈마 에칭 가스의 탄소 공급원으로부터, 에칭 가스와 노출되는 재료 사이의 반응으로부터, 또는 에칭 공정으로부터의 부산물의 재증착으로부터 유래할 수 있다. 에칭제에 대한 첨가제는 전도성 원소 및/또는 화학 결합을 도입함으로써 측벽 패시베이션의 화학적 조성에 강하게 영향을 미치고, 이로써 측벽 패시베이션의 전도도에 긍정적인 영향을 미친다. 플라스마 에칭 과정에 걸쳐, 측벽이 음으로 하전되는 동안 구조의 하부의 전위는 양으로 하전되어, 구조 내에 바람직하지 않은 국부 전기장이 형성된다. 국부 전기장을 따른 전위차보다 더 큰 에너지를 갖는 활발한 이온만이 하부에 도달할 수 있다. 측벽 패시베이션의 전도도가 증가함에 따라 측벽 상의 전하는 빠르게 소멸된다. 필요한 바이어스 전력은 기준선 공정 미만이다.
개시된 에칭 가스는 플루오로카본/히드로플루오로카본일 수 있다. 예시적인 개시된 플루오로카본/히드로플루오로카본은 CF4, CH3F, C2F6, C3F8, C2HF5, C5F8, C6F6, C4F6, C4F8, C1 내지 C5 포화 또는 불포화 선형, 분지형, 환형 히드로플루오로카본, 예컨대 C4H2F6, CHF3, CH2F2, 또는 이들의 조합을 포함한다.
개시된 플루오로카본/히드로플루오로카본은 산화규소(SiO), 질화규소(SiN), 순수한 규소(Si), 예컨대 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si); 비정질 규소, 저-k SiCOH, SiOCN, SiC, SiON, SiaObHcCdNe (여기서, a>0이고; b, c, d 및 e ≥ 0임); 금속 함유 필름(예컨대, 구리, 코발트, 루테늄, 텅스텐, 인듐, 백금, 팔라듐, 니켈, 루테늄, 금 등) 등의 층을 포함하는 규소-함유 필름을 에칭하는 데 적합하다. 규소-함유 필름은 교번하는 SiO 및 SiN (ONON) 층 또는 SiO 및 p-Si (OPOP) 층을 또한 포함할 수 있다. 규소-함유 필름은 O 및/또는 N을 함유한다. 규소-함유 필름은 또한 B, C, P, As Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합과 같은 도펀트를 포함할 수 있다.
개시된 플루오로카본/히드로플루오로카본 및 첨가제는 95% v/v 초과의 순도, 바람직하게는 99.99% v/v 초과의 순도, 및 더욱 바람직하게는 99.999% v/v 초과의 순도로 제공된다. 개시된 플루오로카본/히드로플루오로카본 및 첨가제는 5 부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 N2 및/또는 H2O 및/또는 CO2와 같은 불순물 가스가 부피 기준으로 150 ppm 미만으로 함유된다. 바람직하게는, 플라즈마 에칭 가스 내의 물 함량은 중량 기준으로 20 ppm 미만이다. 정제된 생성물은 증류에 의해 및/또는 4 Å 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 통과시킴으로써 생성될 수 있다.
개시된 플루오로카본/히드로플루오로카본 및 첨가제는 10% v/v 미만, 바람직하게는 1% v/v 미만, 더욱 바람직하게는 0.1% v/v 미만, 및 더욱 더 바람직하게는 0.01% v/v 미만의 이의 임의의 그의 이성질체를 함유하며, 이는 이성질체를 제거하기 위해 가스 또는 액체의 증류에 의해 정제될 수 있고, 더 양호한 공정 반복성을 제공할 수 있다.
개시된 플루오로카본/히드로플루오로카본은 대부분의 응용에서 에칭될 구조의 하부에 위치된 금속 층인 매립된 랜딩 층 또는 재료로부터 규소-함유 층을 선택적으로 에칭한다. 개시된 플루오로카본/히드로플루오로카본은 금속 랜딩 층을 에칭하지 않는다. 매립된 랜딩 층은 에칭 정지 층 또는 확산 장벽 층일 수 있다. 금속 랜딩 층의 재료는 3D NAND 구조에서의 텅스텐 금속 월드라인 및/또는 다른 금속, 예컨대 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Pd, Ir, Nb, Cr, Rh, V, Au, Ag 또는 이들의 조합 및/또는 에칭 정지 층, 예컨대 금속 또는 금속 산화물 또는 질화물 층, 예컨대 AlO, WO, HfO, TiO, TaO, InO, CrO, RuO, CoO, MoO, ZrO, SnO, TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN, ZrN, SnN 또는 이들의 조합 등일 수 있다.
개시된 플루오로카본/히드로플루오로카본은 기판 상에서 규소-함유 필름을 플라즈마 에칭하기 위해 사용될 수 있다. 개시된 플라즈마 에칭 방법은 반도체 디바이스, 예를 들어, NAND 또는 3D NAND 게이트 또는 플래시 또는 DRAM 메모리 또는 트랜지스터, 예를 들어, 핀-형상 전계-효과 트랜지스터(FinFET), 게이트 올 어라운드(GAA)-FET, 나노와이어-FET, 나노시트-FET, 포크시트(Forksheet)-FET, 상보적 FET(CFET), 벌크 상보적 금속-산화물-반도체(Bulk CMOS), 완전 공핍형 실리콘-온-절연체(FD-SOI) 구조의 제조에서 유용할 수 있다. 개시된 요오드-함유 에칭 화합물은 다른 응용 분야, 예를 들어, 다양한 라인의 프론트 엔드(FEOL) 및 라인의 백 엔드(BEOL) 에칭 응용에서 사용될 수 있다. 추가적으로, 개시된 요오드-함유 에칭 화합물은 기판 상의 로직(logic)에 메모리를 상호연결시키기 위해 3D 관통 실리콘 비아(TSV) 에칭 응용에서 또는 MEMS 응용에서 Si를 에칭하기 위해 또한 사용될 수 있다.
개시된 에칭 방법은 기판이 안에 배치되어 있는 반응 챔버를 제공하는 단계를 포함한다. 반응 챔버는, 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 소스를 갖는 CCP, 유도 결합 플라즈마(ICP), 또는 마이크로파 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 활성 화학종을 생성할 수 있는 다른 유형의 에칭 시스템과 같이, 에칭 방법이 내부에서 실시되는 장치 내의 임의의 인클로저(enclosure) 또는 챔버일 수 있다. 당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 구매가능한 플라즈마 반응 챔버에는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에칭 제품 패밀리, 또는 Advanced Micro-Fabrication Equipment Inc. China (AMEC)의 Primo SSC HD-RIE 에칭기가 포함되지만, 이에 한정되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해 에칭 성능(선택성 및 손상)을 추가로 개선할 수 있다.
반응 챔버는 하나 또는 하나 초과의 기판을 함유할 수 있다. 예를 들어, 반응 챔버는 25.4 mm 내지 450 mm 직경을 갖는 1 내지 200개의 실리콘 웨이퍼를 함유할 수 있다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예에는 실리콘 웨이퍼, 실리카 웨이퍼, 유리 웨이퍼, Ge 웨이퍼, SiGe 웨이퍼, GeSn 웨이퍼, InGaAs 웨이퍼, GaSb 웨이퍼, InP 웨이퍼, 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 다수의 필름 또는 층을 가질 것이며, 이는 규소-함유 필름 또는 층을 포함한다. 층은 패턴화될 수 있거나 되지 않을 수 있다. 적합한 층의 예는 제한 없이 규소(예컨대, 비정질 규소, p-Si, 결정질 규소, 이들 중 임의의 것은 추가로 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge로 p-도핑되거나 n-도핑될 수 있음), 실리카, 질화규소, 산화규소, 산질화규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0임), Ge, SiGe, GeSn, InGaAs, GaSb, InP; 마스크 층 재료, 예컨대 도펀트를 갖거나 갖지 않는 비정질 탄소, 반사 방지 코팅, 포토레지스트 재료, 금속 산화물, 예컨대 AlO, TiO, HfO, ZrO, SnO, TaO 등 또는 금속 질화물, 예컨대 AlN, ZrN, SnN, HfN, 질화티타늄, 질화탄탈럼 등 또는 이들의 조합; 에칭 정지 층 재료, 예컨대 질화규소, 폴리실리콘, 결정질 규소, 탄화규소, SiON, SiCN 또는 이들의 조합, 디바이스 채널 재료, 예컨대 결정질 규소, 에피텍셜 규소, 도핑된 규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0임) 또는 이들의 조합을 포함한다. a-C(비정질 탄소)는 PE-CVD 공정을 사용하여 증착된 탄소 필름이다. 조성은 주로 탄소이며 약간의 수소 함량을 함유한다. 도핑된 a-C는 증착 공정 동안 도펀트가 추가로 증착되는 비정질 탄소 필름이다. 도펀트는 붕소, 지르코늄, 알루미늄, 티타늄, 텅스텐을 포함할 수 있다. 탄소 필름은 또한 PE-CVD 공정과는 대조적으로 스핀 온 공정을 사용하여 증착될 수 있다. 산화규소 층은 유기계 또는 산화규소계 저-k 유전체 재료(예컨대, 비정질 SiCOH 필름)와 같은 유전체 재료를 형성할 수 있다. 예시적인 저-k 유전체 재료는 Applied Materials에 의해 상표명 Black Diamond II 또는 III로 시판된다. 추가적으로, 텅스텐 또는 귀금속(예컨대, 백금, 팔라듐, 로듐 또는 금)을 포함하는 층이 사용될 수 있다. 게다가 규소-함유 필름의 예는 SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0)일 수 있다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층이 기판으로 지칭된다.
개시된 에칭 방법은 기판을 챔버 내에 배치한 후 및 개시된 플루오로카본/히드로플루오로카본을 챔버 내로 도입하기 전에 반응기 챔버를 고진공으로 펌핑 다운하는 것을 포함한다. 고진공은 0.01 mTorr 내지 10 mTorr의 범위일 수 있다.
불활성 가스가 또한, 플라즈마를 지속시키기 위하여 반응 챔버 내에 도입된다. 불활성 가스는 He, Ar, Xe, Kr, Ne, N2, He 또는 이들의 조합일 수 있다. 에칭 가스 및 불활성 가스는 챔버에 도입 전에 혼합될 수 있으며, 불활성 가스는 생성되는 혼합물의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성한다. 대안적으로, 불활성 가스는 챔버에 연속적으로 도입될 수 있는 반면, 에칭 가스는 챔버에 펄스식으로 도입된다.
개시된 에칭 가스 및 불활성 가스의 증기는 활성화된 에칭 가스를 생성하기 위해 플라즈마에 의해 활성화된다. 플라즈마는 에칭 가스를 라디칼 형태(즉, 활성화된 에칭 가스)로 분해시킨다. 플라즈마는 RF 또는 DC 전력을 인가함으로써 발생될 수 있다. 플라즈마는 약 25 W 내지 약 100,000 W 범위의 RF 전력으로 발생될 수 있다. 플라즈마는 원격으로 또는 반응기 자체 내에서 발생될 수 있다. 플라즈마는 양 전극 모두에 인가된 RF로 이중 CCP 또는 ICP 모드에서 발생될 수 있다. 플라즈마의 RF 주파수는 100 KHz 내지 1GHz의 범위일 수 있다. 상이한 주파수에서의 상이한 RF 소스는 동일한 전극에 커플링되고 인가될 수 있다. 플라즈마 RF 펄싱(pulsing)은 기판에서 분자 단편화 및 반응을 제어하기 위해 추가로 사용될 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
사중극자 질량 분석계(QMS), 발광 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴은 생성된 화학종의 유형 및 수를 결정하기 위해 챔버 배기가스로부터 활성화된 에칭 가스를 측정할 수 있다. 필요한 경우에, 에칭 가스 및/또는 불활성 가스의 유량은 생성된 라디칼 종의 수를 증가 또는 감소시키기 위해 조정될 수 있다.
개시된 에칭 가스 및 첨가제는 반응 챔버 내에 도입 전에 또는 반응 챔버 내에서 다른 가스 또는 공반응물과 혼합될 수 있다. 바람직하게, 가스는 진입하는 가스의 균일한 농도를 제공하기 위해 챔버에 도입 전에 혼합될 수 있다.
다른 대안예에서, 히드로플루오로카본 에칭 화합물 및 첨가제의 증기는 다른 가스와 독립적으로, 예를 들어, 가스들 중 둘 이상이 반응하거나 독립적으로 전달하기 용이할 때 챔버 내에 도입될 수 있다.
다른 대안예에서, 히드로플루오로카본 에칭 가스 및 첨가제 가스가 에칭 공정 동안 사용되는 오직 2가지 가스이다.
다른 대안예에서, 히드로플루오로카본 에칭 가스, 첨가제 가스 및 불활성 가스는 에칭 공정 동안 사용되는 오직 3가지 가스이다.
예시적인 다른 가스 또는 공반응물에는, 제한 없이, 산화제, 예컨대 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합이 포함된다. 개시된 에칭 가스/첨가제 및 산화제는 반응 챔버 내에 도입 전에 함께 혼합될 수 있다.
대안적으로, 산화제는 챔버 내에 연속적으로 도입될 수 있으며, 에칭 가스는 챔버 내에 펄스식으로 도입될 수 있다. 산화제는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 포함할 수 있다(99.99% v/v는 연속 도입 대안을 위해 거의 순수한 산화제의 도입을 나타냄).
개시된 히드로플루오로카본 에칭 가스 및 첨가제 가스와 함께 사용될 수 있는 다른 예시적인 가스에는 추가 에칭 가스, 예컨대 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, H2S, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 또는 이들의 조합이 포함된다. 예를 들어, 대략 1% v/v 내지 대략 25% v/v의 개시된 요오드-함유 에칭 화합물이 사용될 수 있고, 잔부는 C4F6 또는 cC4F8이다. 하기 실시예에서 나타내는 바와 같이, 통상적인 에칭 가스와 개시된 요오드-함유 에칭 화합물의 조합은 기판과 개시된 요오드-함유 에칭 화합물과 관련하여 에칭될 층 사이의 더 높은 선택성을 유지하면서, 증가된 에칭 속도를 야기할 수 있다.
개시된 에칭 화합물 및/또는 첨가제의 증기는 기판 및 규소-함유 필름을 수용하는 반응 챔버 내에 도입된다. 증기는 챔버에 각각 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입될 수 있다. 예를 들어, 200 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 5 sccm 내지 대략 50 sccm 범위의 유량으로 도입될 수 있다. 대안적으로, 450 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 25 sccm 내지 대략 250 sccm 범위의 유량으로 도입될 수 있다. 당업자는 유량이 툴에 따라 달라질 수 있다는 것을 인지할 것이다. 개시된 에칭 화합물 및/또는 첨가제의 증기는 사전혼합된 상태로 또는 개별적으로 반응 챔버 내로 도입될 수 있다.
개시된 에칭 화합물 및 첨가제는 순수한(neat) 형태로 또는 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 또는 도데칸과 같은 적합한 용매와의 블렌드로 공급될 수 있다. 개시된 화합물 및 첨가제는 용매 중에 다양한 농도로 존재할 수 있다. 개시된 화합물 및 첨가제의 증기 형태는 직접 기화 또는 버블링에 의한 것과 같은 통상적인 기화 단계를 통해 순수한 또는 블렌딩된 개시된 화합물 및 첨가제 용액을 기화시킴으로써 생성될 수 있다. 순수한 또는 블렌딩된 개시된 화합물 및 첨가제는 액체 상태로 기화기에 공급될 수 있으며, 여기서, 이는 반응기 내로 도입되기 전에 기화된다. 대안적으로, 순수한 또는 블렌딩된 화합물 및 첨가제는 개시된 화합물 및 첨가제를 수용하는 용기 내에 캐리어 가스를 통과시킴으로써 또는 개시된 화합물 및 첨가제 내에 캐리어 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스에는 Ar, He, N2, Xe, Kr, Ne, 및 이들의 혼합물이 포함될 수 있지만 이로 한정되지 않는다. 이어서 캐리어 가스 및 개시된 화합물 및 첨가제는 증기로서 반응기 내로 도입된다.
필요한 경우, 개시된 화합물 및 첨가제를 수용하는 용기는 개시된 화합물 및 첨가제가 액체상으로 있고 충분한 증기압을 갖도록 하는 온도로 가열될 수 있다. 용기는 예를 들어 대략 0℃ 내지 대략 150℃ 범위의 온도로 유지될 수 있다. 버블러로부터 에칭 툴까지의 라인은 또한 용기의 온도 이상의 온도로 유지될 수 있다. 당업자는, 용기의 온도가 기화된 개시된 화합물 및 첨가제의 양을 제어하기 위해 알려진 방식으로 조정될 수 있다는 것을 인지한다.
개시된 히드로플루오로카본 에칭 가스 및 첨가제 가스 및 추가 에칭 가스의 증기는 반응 챔버 내로 도입 전에 혼합될 수 있다. 추가적인 에칭 가스는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 차지할 수 있다.
개시된 에칭 가스 및 추가 가스, 예컨대 불활성 가스 및 공반응물의 증기는 활성화된 에칭 가스를 생성하기 위해 플라즈마에 의해 활성화된다. 플라즈마는 에칭 가스를 라디칼 형태 또는 이온(즉, 활성화된 에칭 가스)르로 분해시킨다. 플라즈마는 RF 또는 DC 전력을 인가함으로써 발생될 수 있다. 플라즈마는 분리형 플라즈마 에칭 반응기에서 약 25 W 내지 약 100,000 W 범위의 RF 소스 전력으로 발생될 수 있다. 플라즈마는 원격으로 또는 반응기 자체 내에서 발생될 수 있다. 플라즈마는 양측 전극 모두에 적용되는 RF로 이중 CCP 또는 ICP 모드에서 발생될 수 있지만 이로 한정되지 않는다. 플라즈마의 RF 주파수는 100 KHz 내지 1GHz의 범위일 수 있다. 상이한 주파수에서의 상이한 RF 소스는 동일한 전극에 커플링되고 인가될 수 있다. 플라즈마 RF 펄싱이 바이어스 전력으로서 기판에서 분자 단편화 및 반응을 제어하기 위해 추가로 사용될 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
개시된 첨가제는 히드로플루오로카본 또는 플루오로카본과 함께 사용되기 때문에, 첨가제를 사용하지 않는 에칭에 비해 바이어스 전력이 낮을 수 있다. 개시된 방법에서, 첨가제를 적용함으로써, 이하의 예로부터, 첨가제를 사용하지 않은 경우보다 바이어스 전력이 적어도 대략 10% 더 낮을 수 있다.
고종횡비 패턴화된 구조 상에 고전도성 측벽 패시베이션 층을 형성하는 개시된 방법은 i) 기판을 수용하는 반응기 내로 에칭제의 증기를 도입하는 단계; ii) 소스 파워를 적용하여 반응기 내의 에칭제로부터 이온 플라즈마를 생성하는 단계; iii) 기판 상의 패턴화된 마스크 층에 의해 덮이지 않은 기판의 부분이 선택적으로 에칭되어, 고종횡비 패턴화된 구조를 형성하도록 감소된 바이어스 전력을 적용함으로써 이온이 기판을 향해 확산되게 하는 단계; 및 iv) 고전도성 측벽 패시베이션 층이 고종횡비 패턴화된 구조의 측벽 상에 형성될 때까지 미리 결정된 시간 동안 공정을 계속 진행하고 소스 전원 및 저 바이어스 전원을 끈 채로 유지하는 단계를 포함한다.
여기서, 기판 상에 필름이 배치되고 필름 상에 패턴화된 마스크 층이 배치된다. 에칭제는 히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물을 포함한다. 에칭제는 또한 공반응물 및/또는 불활성 가스를 포함할 수 있다. 에칭제를 반응기 내로 도입한 후에, 에칭제가 평형에 도달하게 한다. 감소된 바이어스 전력은 에칭제에 첨가제를 첨가하지 않은 것보다 적어도 10% 더 낮을 수 있다. 미리 결정된 시간은 1초 내지 1000초의 범위이다. 히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물의 비는, 측벽 표면의 새로 형성된 부분이 패시베이션되고 추가 에칭으로부터 보호되도록 선택된다. 반응기 내로 도입되는 히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물의 비는 유량 기준으로 1:99에서 99:1까지 다양할 수 있다.
에칭제를 반응기 내로 도입하기 전에, 반응기는 에칭 공정 후에 0.001 mTorr 내지 100 mTorr 범위의 고진공으로 펌핑 다운되며, 반응기는 불활성 가스에 의해 퍼징될 수 있다.
반응기 챔버의 온도는, 기판 홀더의 온도를 조절하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용되는 디바이스는 당업계에 공지되어 있다. 반응기 벽은 특히 샤워 헤드 반응기가 사용되는 경우, 벽 또는 반응기 챔버 상의 응축을 예방하기에 충분한 온도로 가열되며, 여기서 기판 온도가 벽의 온도보다 더 높다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 -100℃ (LN 온도) 내지 대략 500℃, 바람직하게는 대략 20℃ 내지 대략 150℃, 더 바람직하게는 20℃ 내지 대략 110℃의 범위를 포함한다.
반응 챔버 내의 압력은 에칭제 또는 공정 가스가 패턴화된 마스크 층에 의해 덮이지 않는 기판의 부분을 에칭하기에 적합한 조건에서 유지된다. 여기서 에칭제 또는 공정 가스는 히드로플루오로카본 또는 플루오로카본 에칭 가스, 첨가제, 공반응물 등을 포함할 수 있다. 예를 들어, 반응기 내의 압력은 대략 1 mTorr 내지 대략 100Torr, 바람직하게는 대략 1 mTorr 내지 대략 50Torr, 더 바람직하게는 대략 1 mTorr 내지 대략 10Torr, 더욱 더 바람직하게는 대략 1 mTorr 내지 대략 50 mTorr로 유지될 수 있다.
에칭 조건은 에칭 공정 동안 변화할 수 있다. 예를 들어 파라미터, 예컨대 가스 유동, 플라즈마 전력, 압력, 온도는 홀 및 트렌치의 하부 근처에서의 에칭 종료 부분과 비교하여 에칭 시작 부분 동안 더 높거나 더 낮을 수 있다. 대안적으로, 성능을 개선하기 위해, 예컨대 중합체 증착 속도를 감소시키거나 향상시키기 위해, 에칭 중 상이한 시점에 상이한 에칭 가스가 첨가될 수 있다.
개시된 에칭 방법은 마스크 층, 포토레지스트, 에칭 정지 층 및 디바이스 채널 재료에 대한 높은 선택성을 제공할 수 있으며, DRAM 및 3D NAND 구조 및 접촉 에칭 응용과 같은 1:1 내지 200:1 범위의 종횡비를 갖는 구조와 같은 HAR 구조에서 프로파일 왜곡을 제공하지 않을 수 있다. 대안적으로, 종횡비는 1:1 내지 20:1의 범위이고 종횡비는 21:1 내지 200:1의 범위이다. 개시된 에칭 방법은 종횡비가 대략 1:1 내지 대략 200:1인 HAR 패턴화된 구조를 에칭하는 데 적합하다. 대안적으로, 개시된 에칭 방법은 대략 1:1 내지 대략 20:1의 종횡비, 대략 21:1 내지 대략 200:1의 종횡비, 대략 1:1 내지 대략 60:1의 종횡비, 또는 대략 61:1 내지 대략 200:1의 종횡비를 갖는 HAR 패턴화된 구조를 에칭하는 데 적합하다.
실시예
본 발명의 실시 형태를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것도 아니다.
하기 실시예에서, 시판 LAM tool 4520XLe 200mm(CCP 이중 주파수 플라즈마) 또는 대안적으로 시판 AMEC 300mm Primo SSC HD-RIE 에칭기를 사용하여 실험을 수행하였다. 반복성을 입증하기 위해, 각각의 에칭 시험을 적어도 3회 반복하였다. 3회 측정의 평균의 표준 편차가 차트에서 오차 막대로 표시되어 있다. 후속하여, 중합체 조성물을 X-선 광전자 분광법(XPS)에 의해 연구하였다.
실시예 1: 중합체 전기 전도도 측정
도 1 내지 도 3은 각각 평면 웨이퍼에서 측정된 첨가제 CH3I, C4F9I 또는 SiH2I2를 사용한 경우 및 사용하지 않은 경우의 C4H2F6의 전도도이다. 전류(I)-전압(V)은 수은 프로브를 사용하여 측정하였다. 낮은 전압에서 측정 노이즈를 낮추는 데 도움이 될 수 있는 접촉 저항을 개선하기 위해 웨이퍼 뒷면에 탈이온수 한 방울을 추가하였다. 동일한 전기장 강도에서, 히드로플루오로카본 내로의 요오드-분자 첨가제는 중합체를 통해 더 높은 측정 전류 및 더 낮은 항복 전압을 유도한다. 첨가제를 사용한 경우의 C4H2F6의 전도도는 첨가제를 사용하지 않은 경우의 C4H2F6과 비교하여 증가된다. 도 1 내지 도 3에서 전류차를 참조한다. 표 1에 나타난 바와 같이, 전도도는 0.2 MV/cm의 전기장에서 계산되었고, 0.2 MV/cm의 전기장에서 전도도의 증가는 10% 초과였다.
[표 1]
실시예 2: CH3I를 첨가제로서 사용한 ONON 홀 패턴 에칭
평면 박막에서 유망한 성능(a-C 마스크에 대한 더 높은 선택성 및 증가된 중합체 전도도)으로 인해, 패턴화된 웨이퍼 또는 기판 상의 ONON (즉, SiO/SiN 교번 층) 홀 패턴 에칭을 위한 C4H2F6을 포함하는 에칭 레시피에 CH3I를 추가하였다. 패턴화된 웨이퍼는 ONON 층을 가지며, 홀 패턴화된 비정질-탄소(a-C) 마스크 층이 그 위에 증착된다. 에칭 레시피는 또한 O2를 포함한다.
예비 스크리닝을 위해 4가지 조건을 시험하였다: 30/10 sccm, 35/5 sccm, 40/5 sccm 및 40/10 sccm의 C4H2F6/CH3I 유량, 여기서 30/10 sccm 및 35/5 sccm은 동일한 총 가스 유동을 갖는다. CH3I는 매우 중합성이기 때문에, SEM 이미지를 통해 CH3I 유량이 10 sccm 이상인 경우에 에칭 정지가 관찰되었다. 40/5 sccm의 조건은 개선된 에칭 선택성을 나타내지만, 추가의 에칭 레시피 조정이 필요하다. SEM 조건은 다음과 같다. 가속 전압: 5.0kV; 방출 전류: 20μA; 규모: x30.0k.
CH3I는 매우 중합성이며, 이는 패턴화된 마스크 층을 막을 수 있고 ONON 에칭 조성물에 첨가된 유동이 10 sccm 이상인 경우 에칭 정지를 추가로 유도한다. 에칭 레시피에 CH3I를 추가하면, O2 유량의 공정 윈도우가 68 sccm에서 74-76 sccm으로 이동한다. 최적화된 CH3I 레시피의 경우: ONON 에칭 속도(ER): 510 nm/min (CH3I를 사용하지 않은 경우, 측정된 ONON ER은 516 nm/min임); ONON/a-C 선택성: 11.2 ~ 12 (CH3I를 사용하지 않은 경우, ONON/a-C 선택성은 11.4 ~ 12임). 도 4 내지 도 9를 각각 CH3I를 사용한 경우 및 사용하지 않은 경우 ER, 선택성, 측벽 보우잉, 타원율, 임계 치수(CD) 및 C4H2F6의 상이한 바이어스 전력의 비교이다. 전반적으로, CH3I를 사용한 레시피는 CH3I를 사용하지 않은 레시피와 비교하여 바이어스 전력(ONON 에칭 속도, 선택성, 보우잉, 타원율, 프로파일 CD)에 대해 더 적은 의존성을 나타낸다.
더 구체적으로, 도 6에 나타낸 바와 같이, 7000W의 바이어스 전력에서, 레시피에 CH3I를 첨가하는 것은 a-C 마스크 홀의 유의미한 타원율 변화를 나타내지 않으며, 측정된 타원율은 CH3I를 사용하지 않은 레시피에 대해 93%이고 CH3I를 사용한 레시피에 대해 94%이다. 5600W의 바이어스 전력에서, CH3I를 사용하지 않은 레시피의 경우, a-C 마스크 프로파일은 감소된 바이어스 전력에 의해 저하되는 반면, CH3I를 사용한 레시피의 경우 더 적은 영향이 관찰되었다. 4200W의 바이어스 전력에서, CH3I를 사용한 레시피는 ER, 선택성, a-C 마스크 타원율 및 경사각을 포함하는 개선된 에칭 성능을 나타낸다. 표 2는 에칭 성능을 요약하였다.
[표 2] 에칭 성능 요약
요약하면, 첨가제 CH3I를 사용한 경우, HAR 피처의 에칭 전면 및 측벽 상의 표면 패시베이션(중합체 층이라고도 함)이 개선되고 다양한 플라즈마 에칭 조건(RF 전력, 공정 시간 등)에 따라 패시베이션이 변경된다. 또한, 히드로플루오로카본 에칭 가스에 첨가된 첨가제로서의 CH3I는 에칭 속도, 선택성, 타원율, 프로파일 CD 등과 같은 에칭 성능을 손상시키지 않고서 바이어스 전력을 40%만큼 감소시킨다.
실시예 3: 중합체 화학 조성 및 중합체 전기 전도도
기판: 중합체 단독에 대한 I-V 특성을 얻기 위해, 저항률이 낮은 Si 기판(0.02 Ohm.cm 미만)을 SiO2 기판 대신에 중합체 증착에 사용하였다. 수은 프로브를 사용한 I-V 측정을 용이하게 하기 위해 Si 기판을 1 인치 x 1 인치 쿠폰으로 절단하였다.
중합체 증착: 동일한 증착 공정 조건을 C4F8, C4H2F6, C4F9I, C4F8 + C4F9I 및 C4H2F6 + C4F9I에 대해 사용하였고; 증착된 중합체의 두께를 엘립소미터로 측정하였고; 이온 차단 영역 상의 중합체에 대해, 차폐 쿠폰 에지로부터 1 mm 떨어진 위치에서 두께를 측정하였다.
Lam Tool 실험 조건: RF 소스 전력: 750W; 바이어스 전력: 1500W; Ar/에칭 가스(또는 가스 혼합물)/O2: 250/15/0; 에칭 시간: 30초.
도 10은 다양한 중합체 화학 조성 및 중합체 전기 전도도이다. 전류(I)-전압(V) 측정은 실시예 1에서와 동일하였다. 나타낸 바와 같이, 동일한 전기장 강도 하에서, 히드로플루오로카본 내로의 요오드-분자 첨가제는 중합체를 통한 더 높은 측정 전류 및 더 낮은 항복 전압을 유도하며, 0.2 MV/cm에서 다양한 중합체 및 요오드-분자 첨가제의 전도도는 다음과 같다: C4F9I > C4H2F6 + C4F9I > C4F8+C4F9I > C4H2F6 > C4F8. C-C:C-Fx/C-I 비가 더 높은 중합체는 항복 전압이 더 높고 전기적으로 더 강하다. C-Fx 결합은 중합체 전도도에 기여할 수 있다. C-C 결합 풍부 중합체는 C-Fx 결합 풍부 중합체보다 덜 전도성이다.
표 3은 C-C:C-Fx/C-I (x는 정수임) 결합 농도비를 나타낸다. C-C:C-Fx/C-I 결합 농도 비는 낮은 것에서 높은 것으로 C4F9I < C4F8 + C4F9I < C4F8 < C4H2F6 + C4F9I < C4H2F6이다. C-C 결합은 비극성 공유 결합이고; C-Fx/C-I 결합은 극성 공유 결합이다. 전도도는 0.2 MV/cm의 전기장에서 계산되었고, 0.2 MV/cm의 전기장에서 전도도의 증가는 10% 초과였다.
[표 3]
중합체 화학 조성 및 전기 전도도가 서로 어떻게 관련되어 있는지 말하는 것은 어렵지만, 다양한 전기장에서 상이한 전도 메커니즘으로 인해, 일반적으로, 중합체로의 요오드 첨가제는 이를 더 전도성으로 만들고, 이는 결국 첨가제를 사용하지 않은 경우와 비교하여, 실시예 2에 나타낸 바와 같이, 에칭 속도, 선택성, 타원율, 프로파일 CD 등과 같은 에칭 성능을 손상시키지 않으면서, 바이어스 전력을 적어도 대략 10% 감소시키거나, 심지어 바이어 전력이 전혀 필요하지 않다.
본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 물질, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 실시 형태에 한정되는 것은 아니다.
본 발명의 실시 형태가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기술된 실시형태는 단지 예시적이며 비제한적이다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범위 내에 있다. 따라서, 보호 범위는 본원에 기술된 실시형태에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 청구 대상에 대한 모든 균등물을 포함한다.
Claims (20)
- 반응 챔버 내의 기판에서 고종횡비(HAR) 에칭 공정 동안 HAR 구조를 형성하는 방법으로서,
히드로플루오로카본 또는 플루오로카본 화합물 및 첨가제 화합물을 포함하는 에칭제의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물을 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함하는, 방법. - 제1항에 있어서, 반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함하며, 산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택되는, 방법.
- 제1항에 있어서, 반응 챔버 내로 불활성 가스를 도입하는 단계를 추가로 포함하며, 불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택되는, 방법.
- 제1항에 있어서, HAR 패턴화된 구조의 측벽 상에 고전도성 측벽 패시베이션 층이 형성되는, 방법.
- 제1항 내지 제4항 중 어느 한 항에 있어서, 활성화된 히드로플루오로카본 또는 플루오로카본 화합물 및 활성화된 첨가제 화합물로 형성된 고전도성 측벽 패시베이션 층의 전도도는 활성화된 첨가제 화합물의 첨가 없이 활성화된 히드로플루오로카본 또는 플루오로카본 화합물로 형성된 고전도성 측벽 패시베이션 층의 전도도보다 적어도 대략 10% 더 높은, 방법.
- 제1항에 있어서, 히드로플루오로카본 또는 플루오로카본 화합물은 CF4, CH3F, C2F6, C3F8, C2HF5, C5F8, C6F6, C4F6, C4F8, C1 내지 C5 포화 또는 불포화 선형, 분지형, 환형 히드로플루오로카본, 예컨대 C4H2F6, CHF3, CH2F2, 또는 이들의 조합을 포함하는, 방법.
- 제1항에 있어서, 히드로플루오로카본 또는 플루오로카본 화합물은 C4H2F6인, 방법.
- 제1항에 있어서, 첨가제 화합물은 규소, 탄소 및/또는 요오드 원소를 함유하며 하기 화학식을 갖는, 방법:
CnR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x),
SiRIyF(3-y),
SiIzF(4-z), 또는
CnF(2n+1)I
(상기 식에서, n은 1 내지 10이고; x는 1 내지 2이고; y는 1 내지 3이고; z는 1 내지 3이고; R, R1, R2 및 R3은 각각 독립적으로 H, C1-C10 선형, 분지형, 또는 환형, 포화 또는 불포화, 방향족, 헤테로시클릭, 부분적으로 또는 완전히 플루오르화된, 치환되거나 비치환된 알킬 기이고; R1과 R2, R2와 R3 또는 R1과 R3은 또한 연결되어 환형 기를 형성할 수 있음). - 제1항 내지 제4항 및 제6항 내지 제8항 중 어느 한 항에 있어서, 첨가제 화합물은
로부터 선택되는, 방법. - 제1항 내지 제4항 및 제6항 내지 제8항 중 어느 한 항에 있어서, 필름은 O 및/또는 N을 함유하는 규소-함유 필름이며 선택적으로 도펀트, 예컨대 B, C, P, As Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합을 함유하는, 방법.
- 제1항 내지 제4항 및 제6항 내지 제8항 중 어느 한 항에 있어서, 패턴화된 마스크 층은 a-C 층, a 도핑된 a-C 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층, 폴리-Si 층, 금속 산화물 층, 예컨대 Ti, Al, Zr, Hf 등의 산화물, 및 이들의 조합인, 방법.
- 제1항 내지 제4항 및 제6항 내지 제8항 중 어느 한 항에 있어서, 필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1인, 방법.
- 제1항 내지 제4항 및 제6항 내지 제8항 중 어느 한 항에 있어서, 반응 챔버 내로 추가 에칭 가스를 도입하는 단계를 추가로 포함하며, 추가 에칭 가스는 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 및 이들의 조합으로 이루어진 군으로부터 선택되는, 방법.
- HAR 패턴화된 구조를 형성하는 방법으로서,
C4H2F6 및 CH3I의 증기에 기판을 순차적으로 또는 동시에 노출시키는 단계로서, 기판 상에는 필름이 배치되고 필름 상에는 패턴화된 마스크 층이 배치되는 단계;
플라즈마를 활성화하여 활성화된 C4H2F6 및 활성화된 CH3I를 생성하는 단계; 및
패턴화된 마스크 층으로 덮이지 않은 필름과 활성화된 C4H2F6 및 CH3I 사이에서 에칭 반응이 진행되도록 하여 패턴화된 마스크 층으로부터 필름을 선택적으로 에칭함으로써 HAR 패턴화된 구조를 형성하는 단계를 포함하는, 방법. - 제14항에 있어서, 반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함하며, 산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택되는, 방법.
- 제14항에 있어서, 반응 챔버 내로 불활성 가스를 도입하는 단계를 추가로 포함하며, 불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택되는, 방법.
- 제14항에 있어서, HAR 패턴화된 구조의 측벽 상에 고전도성 측벽 패시베이션 층이 형성되는, 방법.
- 제14항 내지 제17항 중 어느 한 항에 있어서, 활성화된 C4H2F6 및 활성화된 CH3I로 형성된 고전도성 측벽 패시베이션 층의 전도도는 활성화된 CH3I의 첨가 없이 활성화된 C4H2F6으로 형성된 고전도성 측벽 패시베이션 층의 전도도보다 적어도 대략 10% 더 높은, 방법.
- 제14항 내지 제17항 중 어느 한 항에 있어서, 필름에 형성된 HAR 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1인, 방법.
- 제14항 내지 제17항 중 어느 한 항에 있어서, 반응 챔버 내로 추가 에칭 가스를 도입하는 단계를 추가로 포함하며, 추가 에칭 가스는 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 및 이들의 조합으로 이루어진 군으로부터 선택되는, 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/135,216 | 2020-12-28 | ||
US17/135,216 US12106971B2 (en) | 2020-12-28 | 2020-12-28 | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching |
PCT/US2021/063683 WO2022146697A1 (en) | 2020-12-28 | 2021-12-16 | High conductive passivation layers and method of forming the same during high aspect ratio plasna etching |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20230125268A true KR20230125268A (ko) | 2023-08-29 |
Family
ID=82259627
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020237025411A KR20230125268A (ko) | 2020-12-28 | 2021-12-16 | 고전도성 패시베이션 층 및 고종횡비 플라즈마 에칭 동안 이를 형성하는 방법 |
Country Status (7)
Country | Link |
---|---|
US (1) | US12106971B2 (ko) |
EP (1) | EP4267692A1 (ko) |
JP (1) | JP2024500969A (ko) |
KR (1) | KR20230125268A (ko) |
CN (1) | CN116848215A (ko) |
TW (2) | TWI824361B (ko) |
WO (1) | WO2022146697A1 (ko) |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11798811B2 (en) * | 2020-06-26 | 2023-10-24 | American Air Liquide, Inc. | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures |
JP7547220B2 (ja) * | 2021-01-12 | 2024-09-09 | キオクシア株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
US20240162042A1 (en) * | 2022-10-26 | 2024-05-16 | American Air Liquide, Inc. | Etching methods with alternating non-plasma and plasma etching processes |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4836905A (en) * | 1987-07-16 | 1989-06-06 | Texas Instruments Incorporated | Processing apparatus |
US5840630A (en) * | 1996-12-20 | 1998-11-24 | Schlumberger Technologies Inc. | FBI etching enhanced with 1,2 di-iodo-ethane |
JP3961247B2 (ja) | 2001-08-17 | 2007-08-22 | 株式会社東芝 | プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法 |
WO2005043701A1 (en) * | 2003-10-31 | 2005-05-12 | Bookham Technology Plc | Method for manufacturing gratings in semiconductor materials |
US7344975B2 (en) * | 2005-08-26 | 2008-03-18 | Micron Technology, Inc. | Method to reduce charge buildup during high aspect ratio contact etch |
KR20090017120A (ko) * | 2007-08-14 | 2009-02-18 | 삼성전자주식회사 | 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법 |
US7846846B2 (en) * | 2007-09-25 | 2010-12-07 | Applied Materials, Inc. | Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls |
US8614151B2 (en) | 2008-01-04 | 2013-12-24 | Micron Technology, Inc. | Method of etching a high aspect ratio contact |
US20110073136A1 (en) * | 2009-09-10 | 2011-03-31 | Matheson Tri-Gas, Inc. | Removal of gallium and gallium containing materials |
US20130122712A1 (en) * | 2011-11-14 | 2013-05-16 | Jong Mun Kim | Method of etching high aspect ratio features in a dielectric layer |
KR102153246B1 (ko) | 2012-10-30 | 2020-09-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 |
TWI642809B (zh) * | 2013-09-09 | 2018-12-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用蝕刻氣體蝕刻半導體結構的方法 |
US9378971B1 (en) | 2014-12-04 | 2016-06-28 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9543158B2 (en) | 2014-12-04 | 2017-01-10 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US9673058B1 (en) | 2016-03-14 | 2017-06-06 | Lam Research Corporation | Method for etching features in dielectric layers |
US10607850B2 (en) | 2016-12-30 | 2020-03-31 | American Air Liquide, Inc. | Iodine-containing compounds for etching semiconductor structures |
US20180286707A1 (en) | 2017-03-30 | 2018-10-04 | Lam Research Corporation | Gas additives for sidewall passivation during high aspect ratio cryogenic etch |
US10410878B2 (en) | 2017-10-31 | 2019-09-10 | American Air Liquide, Inc. | Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
US11270889B2 (en) | 2018-06-04 | 2022-03-08 | Tokyo Electron Limited | Etching method and etching apparatus |
US10847376B2 (en) * | 2018-06-28 | 2020-11-24 | Sandisk Technologies Llc | In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices |
WO2020041213A1 (en) | 2018-08-24 | 2020-02-27 | Lam Research Corporation | Metal-containing passivation for high aspect ratio etch |
US10741407B2 (en) | 2018-10-19 | 2020-08-11 | Lam Research Corporation | Reduction of sidewall notching for high aspect ratio 3D NAND etch |
US10943791B2 (en) * | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pattern formation method and method for manufacturing a semiconductor device |
US11798811B2 (en) * | 2020-06-26 | 2023-10-24 | American Air Liquide, Inc. | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures |
CN112133676A (zh) * | 2020-09-14 | 2020-12-25 | 江苏集创原子团簇科技研究院有限公司 | 一种在衬底上的高深宽比接触通孔的底部打开保护层的方法 |
JP2022065303A (ja) * | 2020-10-15 | 2022-04-27 | 東京エレクトロン株式会社 | 基板処理方法および基板処理装置 |
-
2020
- 2020-12-28 US US17/135,216 patent/US12106971B2/en active Active
-
2021
- 2021-12-16 JP JP2023538883A patent/JP2024500969A/ja active Pending
- 2021-12-16 WO PCT/US2021/063683 patent/WO2022146697A1/en active Application Filing
- 2021-12-16 EP EP21916205.4A patent/EP4267692A1/en active Pending
- 2021-12-16 CN CN202180093364.7A patent/CN116848215A/zh active Pending
- 2021-12-16 KR KR1020237025411A patent/KR20230125268A/ko active Search and Examination
- 2021-12-24 TW TW110148643A patent/TWI824361B/zh active
- 2021-12-24 TW TW112145201A patent/TW202412105A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
US20220223431A1 (en) | 2022-07-14 |
US12106971B2 (en) | 2024-10-01 |
TWI824361B (zh) | 2023-12-01 |
JP2024500969A (ja) | 2024-01-10 |
WO2022146697A1 (en) | 2022-07-07 |
TW202412105A (zh) | 2024-03-16 |
CN116848215A (zh) | 2023-10-03 |
EP4267692A1 (en) | 2023-11-01 |
TW202236419A (zh) | 2022-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11430663B2 (en) | Iodine-containing compounds for etching semiconductor structures | |
US10256109B2 (en) | Nitrogen-containing compounds for etching semiconductor structures | |
US12106971B2 (en) | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching | |
US11798811B2 (en) | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures | |
TWI846218B (zh) | 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物 | |
WO2023244214A1 (en) | Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching | |
US20240242971A1 (en) | Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition | |
TW202433589A (zh) | 用於電漿蝕刻和沈積之含氮芳香族或環結構分子 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination |