TW202236419A - 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法 - Google Patents

高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法 Download PDF

Info

Publication number
TW202236419A
TW202236419A TW110148643A TW110148643A TW202236419A TW 202236419 A TW202236419 A TW 202236419A TW 110148643 A TW110148643 A TW 110148643A TW 110148643 A TW110148643 A TW 110148643A TW 202236419 A TW202236419 A TW 202236419A
Authority
TW
Taiwan
Prior art keywords
layer
activated
film
etch
har
Prior art date
Application number
TW110148643A
Other languages
English (en)
Other versions
TWI824361B (zh
Inventor
郭翔宇
凱拉 迪莫茲
納森 斯塔福德
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202236419A publication Critical patent/TW202236419A/zh
Application granted granted Critical
Publication of TWI824361B publication Critical patent/TWI824361B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

揭露了用於在反應腔室中在高縱橫比(HAR)蝕刻製程期間在襯底中形成HAR結構之方法,該方法包括:使該襯底順序地或同時暴露於包括氫氟烴或碳氟化合物的蝕刻劑和添加劑化合物的蒸氣,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層;活化電漿以產生活化的氫氟烴或碳氟化合物和活化的添加劑化合物;以及允許在該圖案化的掩膜層未覆蓋的該膜與該活化的氫氟烴或碳氟化合物和該活化的添加劑化合物之間進行蝕刻反應以從該圖案化的掩膜層選擇性地蝕刻該膜,由此形成HAR圖案化的結構。

Description

高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
本申請要求於2020年12月28日提交的美國申請案號17/135,216之權益,出於所有目的將該申請以其全文藉由援引併入本文。
揭露了用於在高縱橫比(HAR)電漿蝕刻期間,使用蝕刻氣體作為添加劑藉由用Si、C和/或碘元素摻雜和/或引入環狀、芳族、雜環化學結構在側壁上形成高導電性側壁鈍化層之方法。
50多年來,摩爾定律(Moore’s Law)驅使半導體製造商持續縮小設備特徵尺寸以提高電晶體/晶片的速度和容量,同時維持相對於競爭對手的成本優勢。這在製造方法中帶來新的挑戰以成功滿足特徵的日益縮小的尺寸和急劇增加的縱橫比的需求。例如,三維閘極疊層NAND快閃式記憶體(3D-NAND)之製造要求能夠蝕刻縱橫比大於40的小孔洞特徵(穿過90+NAND層)。超過萬億個孔洞需要使用極高縱橫比(HAR)蝕刻來蝕刻在每一個晶圓上。
藉由在電漿殼層形成期間的離子傳輸獲得蝕刻的特徵的豎直各向同性。原則上,正的和負的顆粒在孔洞內應該具有相同的軌跡並且在HAR孔洞底部處平衡電荷。但是,由於電子遮蔽效應,電荷在HAR掩膜圖案底部處積聚,這能導致不完全蝕刻、彎曲、扭曲、和HAR疊層的頂部與底部之間臨界尺寸(CD)的變化。因此,工業界做出了許多努力並且正在持續努力除去或最小化HAR蝕刻期間的側壁電荷積聚,以改善蝕刻輪廓和CD控制。
已知接觸孔變形係由接觸孔洞側壁的不對稱帶電造成的,該不對稱帶電改變接觸孔洞內的局部電場並且改變接觸孔洞內反應離子的方向(參見Kim等人,J. Vac. Sci. Technol. A [真空科學與技術雜誌A],第33卷,021303-5 (2015)和Negishi等人,J. Vac. Sci. Technol. B [真空科學與技術雜誌B],第35卷,051205 (2017))。在HAR蝕刻中,已經使用橢圓率來評估掩膜劣化。更高的橢圓率(接近100%)可幫助避免HAR孔洞扭曲和減少蝕刻輪廓變形。
以下是已經用於在HAR蝕刻期間調整鈍化層特性之方法的一些實例,而隨之而來的後果自然是1) 增加至處理腔室的氣體/化學品遞送裝置的複雜性;2) 在HAR特徵的頂部和底部處的鈍化層的均一性差;3) 腔室清潔問題-一些含金屬的聚合物沈積在腔室壁上,其難以被完全除去,等。
Sandhu等人的US 20070049018揭露使用硬光阻劑掩膜在氧化物層中HAR接觸蝕刻基本上豎直的接觸孔洞之方法。電漿蝕刻氣體係包含以下之一的烴氟化物:CH 2F 2、C 4F 8;C 3H 3F 5、C 4F 8;CHF 3;C 2F 6;C 2HF 5、CH 3F;或其組合。摻雜劑分子包含HI、CH 3I、碳、鉀、鈣、PF 6、BF 3、氯化物、AsF 6或其組合中的一種。摻雜的電漿蝕刻氣體藉由以下方式蝕刻基本上豎直的接觸孔洞穿過氧化物層:摻雜在蝕刻製程期間沿接觸孔洞的側壁形成的碳鏈聚合物為導電狀態。該碳鏈聚合物的導電狀態藉由去除電荷並確保與著陸區的有效面積適當對準,減少沿側壁的電荷積聚以防止接觸孔洞的扭曲。蝕刻在下面的襯底處停止。
Bera等人的US 7846846B2揭露藉由在每個接觸開口的側壁上形成高導電性的薄膜來蝕刻HAR接觸開口同時防止蝕刻輪廓的彎曲或折彎之方法。側壁上薄膜的電導率藉由在蝕刻製程期間週期性地進行離子轟擊來提高。蝕刻劑係碳氟化合物/氟代烴氣體,其包含以下中的至少一種:C 2F 4,C 4F 6,CH 2F 2或C 4F 8,C 1至C 5飽和的或不飽和的直鏈的、支鏈的、環狀的氫氟烴,如C 4H 2F 6,CHF 3,CH 2F 2,或其組合。
Nikhil等人的US9543158揭露用於在襯底上的介電材料中形成凹入的特徵的各種方法、設備和系統。在一些情況下,使用電漿輔助原子層沈積、改性電漿輔助原子層沈積、或電漿輔助的化學氣相沈積來沈積保護塗層。蝕刻化學成分係碳氟化合物和氧氣、C 4F 6、C 4F 3、N 2、CO、CF 4和O 2的組合。保護層係陶瓷材料或有機聚合物。對於含硼材料,如氧化硼(BxOy)和氮化硼(BxNy),反應物包括但不限於,硼酸三異丙酯([(CH 3) 2CHO] 3B)、三甲基硼-d 9(B(CD 3) 3)等。對於含矽材料,如氧化矽(Si xO y)和氮化矽(Si xN y),反應物可以是例如矽烷、鹵代矽烷或胺基矽烷。
HAR蝕刻製程變成存儲設備之關鍵製程。HAR特徵的藉由增加有效偏置功率的離子能量控制不斷取得進展。已做出巨大努力來增加離子能量以便克服HAR孔洞內蝕刻前沿上的電荷積聚。基於過去數年偏置功率的趨勢,所需的功率目前將超過20 kW。當偏置功率增加時,許多挑戰出現。防止形成電弧和有效的冷卻以及功率輸送系統對於實現高功率容量皆為關鍵的。此外,隨著縱橫比增加,補償中性熔劑更加困難,因為中性物質僅藉由穿孔擴散來傳遞。
因此,需要獲得具有減小的偏置功率的高導電性側壁鈍化層。
揭露了用於在反應腔室中在高縱橫比(HAR)蝕刻製程期間在襯底中形成HAR結構之方法,該方法包括: 使該襯底順序地或同時暴露於包括氫氟烴或碳氟化合物的蝕刻劑和添加劑化合物的蒸氣,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層; 活化電漿以產生活化的氫氟烴或碳氟化合物和活化的添加劑化合物;以及 允許在該圖案化的掩膜層未覆蓋的該膜與該活化的氫氟烴或碳氟化合物和該活化的添加劑化合物之間進行蝕刻反應以從該圖案化的掩膜層選擇性地蝕刻該膜,由此形成HAR圖案化的結構。所揭露之方法可以包括以下方面中的一項或多項: •   進一步包括將氧化劑引入反應腔室的步驟,其中氧化劑選自O 2、O 3、CO、CO 2、NO、N 2O、NO 2、H 2O、H 2O 2、COS、SO 2以及其組合; •   該氧化劑係O 2; •   該氧化劑係O 3; •   該氧化劑係CO; •   在引入腔室之前將蝕刻化合物、添加劑和含氧氣體混合以產生混合物; •   將蝕刻化合物和添加劑與含氧氣體分開地引入; •   將含氧氣體連續引入並將含碘蝕刻化合物引入; •   含氧氣體占蝕刻化合物、添加劑和含氧氣體的總體積的大約0.01% v/v至大約99.9% v/v; •   含氧氣體占蝕刻化合物、添加劑和含氧氣體的總體積的大約0.01% v/v至大約10% v/v; •   包括將惰性氣體引入反應腔室的步驟,其中惰性氣體選自由He、Ar、Xe、Kr、Ne和N 2組成之群組; •   該惰性氣體係Ar; •   該惰性氣體係Xe; •   該惰性氣體係Kr; •   在引入腔室之前將蝕刻化合物、添加劑和惰性氣體混合以產生混合物; •   將蝕刻化合物和添加劑與惰性氣體分開地引入; •   將惰性氣體連續引入並將蝕刻化合物和添加劑以脈衝引入; •   惰性氣體占蝕刻化合物、添加劑和惰性氣體的蒸氣的總體積的大約0.01% v/v至大約99.9% v/v; •   惰性氣體占蝕刻化合物、添加劑和惰性氣體的蒸氣的總體積的大約90% v/v至大約99% v/v; •   襯底係Si晶圓; •   襯底係晶體矽層; •   形成圖案化的結構; •   圖案化的結構係3D NAND孔; •   圖案化的結構係接觸孔洞; •   圖案化的結構係3D NAND接觸孔洞; •   圖案化的結構係DRAM接點; •   圖案化的結構係通道孔洞; •   圖案化的結構係3D NAND通道孔洞; •   圖案化的結構係3D NAND狹縫接點; •   孔係階梯式接點; •   孔係自對準接點; •   孔係自對準通孔; •   孔係超通孔; •   電漿活化的碳氟化合物和活化的添加劑化合物與膜反應以形成揮發性副產物; •   將該等揮發性副產物從反應腔室中移除; •   在HAR圖案化的結構的側壁上形成高導電性側壁鈍化層; •   用活化的氫氟烴或碳氟化合物和活化的添加劑化合物形成的高導電性側壁鈍化層的電導率比用沒有添加活化的添加劑化合物的活化的氫氟烴或碳氟化合物形成的高導電性側壁鈍化層的電導率高至少大約10%; •   氫氟烴或碳氟化合物包括CF 4,CH 3F,C 2F 6,C 3F 8,C 2HF 5,C 5F 8,C 6F 6,C 4F 6,C 4F 8,C 1至C 5飽和的或不飽和的直鏈的、支鏈的、環狀的氫氟烴,如C 4H 2F 6,CHF 3,CH 2F 2,或其組合; •   氫氟烴或碳氟化合物係C 4H 2F 6; •   添加劑化合物含有矽、碳和/或碘元素,具有下式: C nR 1R 2R 3I, SiR 1R 2R 3I, SiR 1R 2I xF (2-x), SiRI yF (3-y), SiI zF (4-z),或 C nF (2n+1)I 其中n = 1至10;x=1-2;y=1-3;z=1-3;R、R 1、R 2和R 3各自獨立地選自H,C 1-C 10直鏈的、支鏈的或環狀的、飽和的或不飽和的、芳族、雜環、部分或完全氟化的、取代或未取代的烴基;R 1和R 2、R 2和R 3、或R 1和R 3也可以連接以形成環狀基團; •   添加劑化合物選自
Figure 02_image001
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
Figure 02_image011
Figure 02_image013
Figure 02_image015
Figure 02_image017
Figure 02_image019
Figure 02_image021
Figure 02_image023
Figure 02_image025
Figure 02_image027
Figure 02_image029
Figure 02_image031
;  以上揭露之添加劑CR 1R 2R 3I包括
Figure 02_image001
Figure 02_image034
Figure 02_image036
。  以上揭露之添加劑SiR 1R 2R 3I包括
Figure 02_image007
Figure 02_image039
Figure 02_image041
。  以上揭露之添加劑SiR 1R 2I xF (2-x)包括
Figure 02_image011
Figure 02_image044
Figure 02_image046
Figure 02_image048
Figure 02_image050
Figure 02_image052
。  以上揭露之添加劑SiRI yF (3-y)包括
Figure 02_image054
Figure 02_image056
Figure 02_image058
Figure 02_image060
Figure 02_image062
。  以上揭露之添加劑SiI zF (4-z)包括
Figure 02_image064
Figure 02_image066
; •   揭露之添加劑C nF (2n+1)I包括
Figure 02_image068
; •   添加劑化合物選自 碘代甲烷CH 3I(CAS號: 74-88-4), 碘代苯C 6H 5I(CAS號: 591-50-4), 2-碘代丙烷C 3H 7I(CAS號: 75-30-9), 1-碘代丙烷C 3H 7I(CAS號: 107-08-4), 1-碘代乙烷C 2H 5I(CAS號: 75-03-6), 全氟碘代丁烷C 4F 9I(CAS號: 423-39-2), 二氟碘代甲烷CHIF 2(CAS號: 1493-03-4), 二氟碘代(五氟乙基)-矽烷C 2F 7ISi(CAS號: 36972-59-5), 1-(二氟碘代矽基)-2-甲基-苯C 7H 7F 2ISi(CAS號: 174711-76-3), 二氟碘代(三氟甲基)-矽烷CF 5ISi(CAS號: 27668-68-4), 三乙基碘代-矽烷C 6H 15ISi(CAS號: 1112-49-8), 氟三碘代-矽烷FI 3Si(CAS號: 16865-60-4), 二氟二碘代-矽烷F 2I 2Si(CAS號: 27669-15-4), 三氟碘代-矽烷F 3ISi(CAS號: 27668-68-4), 碘代三甲基-矽烷C 3H 9ISi(CAS號: 16029-98-4),或 二碘代矽烷SiH 2I 2(CAS號: 13760-02-6); •   添加劑化合物係碘代-甲烷CH 3I(CAS號: 74-88-4); •   添加劑化合物係碘代-苯C 6H 5I(CAS號: 591-50-4); •   添加劑化合物係2-碘代丙烷C 3H 7I(CAS號: 75-30-9); •   添加劑化合物係1-碘代丙烷C 3H 7I(CAS號: 107-08-4); •   添加劑化合物係1-碘代乙烷C 2H 5I(CAS號:  75-03-6); •   添加劑化合物係全氟碘代丁烷C 4F 9I(CAS號: 423-39-2); •   添加劑化合物係二氟碘代甲烷CHIF 2(CAS號: 1493-03-4); •   添加劑化合物係二氟碘代(五氟乙基)-矽烷C 2F 7ISi(CAS號: 36972-59-5); •   添加劑化合物係二氟碘代(五氟乙基)-矽烷C 2F 7ISi(CAS號: 36972-59-5); •   添加劑化合物係1-(二氟碘代矽基)-2-甲基-苯C 7H 7F 2ISi(CAS號: 174711-76-3), •   添加劑化合物係二氟碘代(三氟甲基)-矽烷CF 5ISi(CAS號: 27668-68-4); •   添加劑化合物係三乙基碘代-矽烷C 6H 15ISi(CAS號: 1112-49-8); •   添加劑化合物係氟三碘代-矽烷FI 3Si(CAS號: 16865-60-4); •   添加劑化合物係二氟二碘代-矽烷F 2I 2Si(CAS號: 27669-15-4); •   添加劑化合物係三氟碘代-矽烷F 3ISi(CAS號: 27668-68-4); •   添加劑化合物係碘代三甲基-矽烷C 3H 9ISi(CAS號: 16029-98-4); •   添加劑化合物係二碘代矽烷SiH 2I 2(CAS號: 13760-02-6); •   膜係含矽膜,其含有O和/或N並且視需要含有摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及其組合; •   膜係含有O的含矽膜; •   膜係含有N的含矽膜; •   膜係含矽膜,其視需要含有摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及其組合; •   含矽膜包含以下項的層:氧化矽(SiO)、氮化矽(SiN)、晶體Si、多晶矽(p-Si)、多晶矽(polycrystalline silicon)、無定形矽、低- kSiCOH、SiOCN、SiC、SiON和Si aO bH cC dN e,其中a > 0;b、c、d和e ≥ 0、交替的SiO和SiN(ONON)層、交替的SiO和p-Si(OPOP)層; •   含矽膜包含氧、氮、碳、氫、或其組合; •   含矽膜係SiO xN yH zC k,其中x在從0至2的範圍內,y在從0至4的範圍內,z在從0至約1的範圍內並且k在從0至1的範圍內; •   含矽膜包含SiO層; •   含矽膜係SiN層; •   含矽膜包含交替的SiO和SiN(ONON)層; •   含矽膜包含交替的SiO和p-Si(OPOP)層; •   含矽膜包含摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge; •   交替層包含以下項的層:氧化矽、氮化矽、多晶矽、晶體矽、SiOCH、SiON、Si aO bC cN dH e(其中a>0;b、c、d和e≥0)或其組合; •   交替層包含氧原子、氮原子、碳原子、氫原子或其組合; •   交替層係含矽膜; •   交替層包含氧化矽層和氮化矽層; •   交替層包含氧化矽和氮化矽的交替層; •   交替層係氧化矽和氮化矽的交替層; •   交替層包含氧化矽層和多晶矽層; •   交替層包含氧化矽和多晶矽的交替層; •   交替層係氧化矽和多晶矽的交替層; •   交替層選擇性地從硬掩膜層蝕刻; •   交替層選擇性地從a-C層蝕刻; •   交替層選擇性地從摻雜的碳層蝕刻; •   氧化矽和氮化矽的交替層選擇性地從a-C層蝕刻; •   氧化矽和氮化矽的交替層選擇性地從摻雜的碳層蝕刻; •   氧化矽和多晶矽的交替層選擇性地從a-C層蝕刻; •   氧化矽和多晶矽的交替層選擇性地從摻雜的碳層蝕刻; •   氧化矽層選擇性地從硬掩膜層蝕刻; •   氧化矽層選擇性地從a-C層蝕刻; •   氧化矽層選擇性地從摻雜的碳層蝕刻; •   氮化矽層選擇性地從硬掩膜層蝕刻; •   氮化矽層選擇性地從a-C層蝕刻; •   氮化矽層選擇性地從摻雜的碳層蝕刻; •   多晶矽層選擇性地從硬掩膜層蝕刻; •   多晶矽層選擇性地從a-C層蝕刻; •   多晶矽層選擇性地從摻雜的碳層蝕刻; •   含矽膜選擇性地從以下項蝕刻:無定形碳層、摻雜的無定形碳層、光阻劑層、減反射層或有機平坦化層; •   氧化矽層選擇性地從以下項蝕刻:無定形碳層、摻雜的無定形碳層、光阻劑層、減反射層或有機平坦化層; •   蝕刻化合物以高蝕刻速率蝕刻氧化矽層和氮化矽層兩者; •   圖案化掩膜層係a-C層,摻雜的a-C層,光阻劑層,減反射層,有機平坦化層,多晶矽層,金屬氧化物層如Ti、Al、Zr、Hf等的氧化物、及其組合; •   硬掩膜層設置在含矽層上; •   硬掩膜層係圖案化的硬掩膜層; •   硬掩膜層係無定形碳層、摻雜的無定形碳層、光阻劑層、減反射層、有機平坦化層或其組合; •   硬掩膜層係以下項的層:CVD、PECVD、ALD、PEALD或旋塗沈積(SOD)無定形碳或摻雜的無定形碳、含矽旋塗掩膜、或含碳旋塗掩膜; •   硬掩膜層係無定形碳(a-C)層; •   硬掩膜層係摻雜的碳層; •   摻雜的無定形碳層係硼摻雜的a-C層; •   摻雜的無定形碳層係鎢摻雜的a-C層; •   在膜中所形成的HAR圖案化的結構具有在大約1 : 1與大約200 : 1之間的縱橫比; •   在膜中所形成的HAR圖案化的結構具有在大約1 : 1與大約20 : 1之間的縱橫比; •   在膜中所形成的HAR圖案化的結構具有在大約21 : 1與大約60 : 1之間的縱橫比; •   在膜中所形成的HAR圖案化的結構具有在大約21 : 1與大約200 : 1之間的縱橫比; •   在膜中所形成的HAR圖案化的結構具有在大約61 : 1與大約200 : 1之間的縱橫比; •   進一步包括將附加蝕刻氣體引入反應腔室,其中該附加蝕刻氣體選自由以下組成之群組:cC 4F 8、C 4F 8、cC 5F 8、C 5F 8、C 4F 6、CF 4、CH 3F、CF 3H、CH 2F 2、C 3HF 7、C 3F 6、C 3H 2F 6、C 3H 2F 4、C 3H 3F 5、C 4HF 7、C 5HF 9、C 3F 6、C 3F 8、CF 3I、C 2F 3I、C 2F 5I、C 3F 7I、1-碘七氟丙烷(1-C 3F 7I)、2-碘七氟丙烷(2-C 3F 7I)、C 3HF 7、COS、FNO、F-C≡N、CS 2、SO 2、SF 6、反式-1,1,1,4,4,4-六氟-2-丁烯( 反式-C 4H 2F 6)、順式-1,1,1,4,4,4-六氟-2-丁烯( 順式-C 4H 2F 6)、六氟異丁烯(C 4H 2F 6)、反式-1,1,2,2,3,4-六氟環丁烷( 反式-C 4H 2F 6)、1,1,2,2,3-五氟環丁烷(C 4H 3F 5)、1,1,2,2-四氟環丁烷(C 4H 4F 4)、和順式-1,1,2,2,3,4-六氟環丁烷( 順式-C 4H 2F 6)及其組合; •   將蝕刻化合物和添加劑與附加蝕刻氣體分開地引入; •   將大約0.01% v/v至大約99.99% v/v的附加蝕刻氣體添加至蝕刻化合物和添加劑; •   藉由施加RF功率活化電漿; •   藉由在從大約25 W至大約100,000 W的範圍內的RF功率將電漿活化; •   與沒有添加劑的蝕刻相比,藉由使用添加劑與氫氟烴或碳氟化合物,偏置功率低; •   藉由使用添加劑與氫氟烴或碳氟化合物,偏置功率比沒有使用添加劑的情況低至少大約10%; •   蝕刻壓力在從大約1毫托至大約100托的範圍內; •   蝕刻壓力在從大約1毫托至大約50托的範圍內; •   蝕刻壓力在從大約1毫托至大約10托的範圍內; •   蝕刻壓力在從大約1毫托至大約50毫托的範圍內; •   以從大約0.1 sccm至大約1 slm範圍內的流速將蝕刻化合物和添加劑的蒸氣引入; •   以從大約0.1 sccm至大約1 slm範圍內的流速將蝕刻化合物的蒸氣引入; •   以從大約0.1 sccm至大約1 slm範圍內的流速將添加劑的蒸氣引入; •   將襯底維持在從大約-100ºC至大約500ºC的範圍內的溫度下; •   將襯底維持在從大約20ºC至大約150ºC的範圍內的溫度下; •   將襯底維持在從大約20ºC至大約110ºC的範圍內的溫度下;以及 •   藉由四極質譜儀、光學發射光譜儀、FTIR、或其他自由基/離子測量工具在電漿下來測量蝕刻化合物。
還揭露了用於形成HAR圖案化的結構之方法,該方法包括以下步驟: 使襯底順序地或同時暴露於C 4H 2F 6和CH 3I的蒸氣,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層; 活化電漿以產生活化的C 4H 2F 6和活化的CH 3I;以及 允許在該圖案化的掩膜層未覆蓋的該膜與該活化的C 4H 2F 6和該CH 3I之間進行蝕刻反應以從該圖案化的掩膜層選擇性地蝕刻該膜,由此形成該HAR圖案化的結構。所揭露之方法可以包括以下方面中的一項或多項: •   進一步包括將氧化劑引入反應腔室的步驟,其中氧化劑選自O 2、O 3、CO、CO 2、NO、N 2O、NO 2、H 2O、H 2O 2、COS、SO 2以及其組合; •   進一步包括將惰性氣體引入反應腔室的步驟,其中惰性氣體選自由He、Ar、Xe、Kr、Ne和N 2組成之群組; •   在HAR圖案化的結構的側壁上形成高導電性側壁鈍化層; •   用活化的C 4H 2F 6和活化的CH 3I形成的高導電性側壁鈍化層的電導率比用沒有添加活化的CH 3I的活化的C 4H 2F 6形成的高導電性側壁鈍化層的電導率高至少大約10%; •   在膜中所形成的HAR圖案化的結構具有在大約1 : 1與大約200 : 1之間的縱橫比; •   進一步包括將附加蝕刻氣體引入反應腔室,其中該附加蝕刻氣體選自由以下組成之群組:cC 4F 8、C 4F 8、cC 5F 8、C 5F 8、C 4F 6、CF 4、CH 3F、CF 3H、CH 2F 2、C 3HF 7、C 3F 6、C 3H 2F 6、C 3H 2F 4、C 3H 3F 5、C 4HF 7、C 5HF 9、C 3F 6、C 3F 8、CF 3I、C 2F 3I、C 2F 5I、C 3F 7I、1-碘七氟丙烷(1-C 3F 7I)、2-碘七氟丙烷(2-C 3F 7I)、C 3HF 7、COS、FNO、F-C≡N、CS 2、SO 2、SF 6、反式-1,1,1,4,4,4-六氟-2-丁烯( 反式-C 4H 2F 6)、順式-1,1,1,4,4,4-六氟-2-丁烯( 順式-C 4H 2F 6)、六氟異丁烯(C 4H 2F 6)、反式-1,1,2,2,3,4-六氟環丁烷( 反式-C 4H 2F 6)、1,1,2,2,3-五氟環丁烷(C 4H 3F 5)、1,1,2,2-四氟環丁烷(C 4H 4F 4)、和順式-1,1,2,2,3,4-六氟環丁烷( 順式-C 4H 2F 6)及其組合;以及 •   膜係含矽膜,其含有O和/或N並且視需要含有摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及其組合。 符號和命名法
以下詳細說明和申請專利範圍利用了本領域中通常眾所周知的許多縮寫、符號和術語,並且包括:
如本文所使用,不定冠詞「一個/種(a或an)」意指一個/種或多個/種。
如本文所使用,在正文或申請專利範圍中的「約(about)」或「大約(around或approximately)」意指所述值的±10%。
如本文所使用,在正文或申請專利範圍中的「室溫」意指從大約20ºC至大約25ºC。
術語「晶圓」或「圖案化的晶圓」係指在襯底上具有任何存在的膜(包括含矽膜)的疊層並且在所形成的任何存在的膜(包括含矽膜)的疊層上具有圖案化的硬掩膜層以用於圖案蝕刻的晶圓。
術語「襯底」係指在其上進行製程的一種或多種材料。襯底可以是指具有在其上進行蝕刻製程的一種或多種材料的晶圓或圖案化的晶圓。襯底可以是在半導體、光伏、平板或LCD-TFT器件製造中使用的任何合適的晶圓。襯底還可以具有從先前的製造步驟已經沈積在其上的一個或多個不同材料層。例如,晶圓可以包括矽層(例如,晶體的、無定形的、多孔的等)、含矽層(例如,SiO 2、SiN、SiON、SiCOH等)、含金屬層(例如,銅、鈷、釕、鎢、銦、鉑、鈀、鎳、釕、金等)或其組合。此外,襯底可以是平面的或圖案化的。襯底可以是有機圖案化的光阻劑膜。襯底可以包括在MEMS、3D NAND、MIM、DRAM或FeRam設備應用中用作介電材料的氧化物的層(例如ZrO 2基材料、HfO 2基材料、TiO 2基材料、稀土氧化物基材料、三元氧化物基材料等)、用作電極的氮化物基膜(例如,TaN、TiN、NbN)、或用作未來代替CMOS系統中的矽的更強的競爭者的含金屬或金屬合金基膜(例如InGaAs、In xO y(x=0.5至1.5,y=0.5至1.5)、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、In 2S 3或In(OH) 3等)。熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指放置或鋪展在表面上的一定厚度的某種材料並且該表面可為溝槽或線。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為襯底。
術語「圖案蝕刻」或「圖案化的蝕刻」係指蝕刻非平面結構,如在圖案化的硬掩膜層下方的含矽膜的疊層。
如本文所用,術語「蝕刻(etch或etching)」意指使用蝕刻化合物和/或電漿經由離子轟擊、遠端電漿、或蝕刻氣體與襯底之間的化學氣相反應來移除材料,並且是指各向同性蝕刻製程和/或各向異性蝕刻製程。各向同性蝕刻製程涉及蝕刻化合物與襯底之間的化學反應,從而導致襯底上的部分材料被除去。這種類型的蝕刻製程包括化學乾式蝕刻、氣相化學蝕刻、熱乾式蝕刻等。各向同性蝕刻製程在襯底中產生橫向或水平蝕刻輪廓。各向同性蝕刻製程在襯底中預先形成的孔的側壁上產生凹槽或水平凹槽。各向異性蝕刻製程涉及電漿蝕刻製程(即,乾式蝕刻製程),其中離子轟擊使豎直方向上的化學反應加速,使得沿著掩蔽特徵的邊緣以與襯底成直角形成豎直側壁(Manos和Flamm,Thermal etching an Introduction [熱蝕刻導論], Academic Press, Inc. [學術出版社公司] 1989 第12-13頁)。電漿蝕刻製程在襯底中產生豎直蝕刻輪廓。電漿蝕刻製程在襯底中產生豎直通孔、孔、溝槽、通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞、狹縫蝕刻、自對準接點、自對準通孔、超通孔等。
術語「掩膜」係指抵抗蝕刻的層。該掩膜層可位於待蝕刻的層的上方。該掩膜層還是指硬掩膜層。該掩膜層可以是無定形碳(a-C)層、摻雜的a-C層、光阻劑層、減反射層、有機平坦化層和其組合。該掩膜層還可以是矽層如多晶矽,金屬氧化物如Ti、Al、Zr、Hf等的氧化物,以及其組合。
術語「縱橫比」係指溝槽(或孔)的高度與溝槽的寬度(或孔的直徑)的比率。
術語「蝕刻終止」係指在待蝕刻的層的下方保護下面的層的層。
術語「器件通道」係指係實際器件的一部分的層,並且對其的任何損害將影響器件性能。
術語「選擇性」意指一種材料的蝕刻速率與另一種材料的蝕刻速率的比率。術語「選擇性的蝕刻(selective etch)」或「選擇性地蝕刻(selectively etch)」意指蝕刻一種材料多於另一種材料,或換言之,在兩種材料之間具有大於或小於1:1的蝕刻選擇性。
術語「通孔(via)」、「孔(aperture)」、「溝槽(trench)」、以及「孔洞(hole)」有時可互換使用,並且通常意指層間絕緣體中的開口。
術語「低偏置功率」或「減小的偏置功率」係指低於基準製程的偏置功率。
本文使用的術語「添加劑」係指被添加入其他蝕刻化合物並提供一些改進的蝕刻性質如改進的輪廓性質,如彎曲、CD、橢圓率等的化合物或氣體。
本文使用的術語「橢圓率」係指測量掩膜劣化之方法,其中在蝕刻應用中,為簡單起見,藉由(短的孔洞寬/長的孔洞寬)*100%來估計被蝕刻的孔洞的橢圓率;因此,完全的圓形的橢圓率定義為100%。
如本文所使用,縮寫「NAND」係指「與非("Negated AND"或"Not AND")」門;縮寫「2D」係指平面襯底上的2維閘極結構;縮寫「3D」係指3維或豎直閘極結構,其中閘極結構在豎直方向上堆疊。
本文使用的術語「汞探針」係指做出快速非破壞性接觸樣品以進行電表徵的電探測裝置。如果汞樣品接觸係以歐姆測定的(非整流),那麼電流-電壓儀錶可用於測量電阻、漏電流或電流-電壓特性。可在大塊樣品或薄膜上測量電阻。薄膜可以由不與汞反應的任何材料構成。本文使用的汞探針的汞觸點的直徑係760 um。
本文使用的術語「電導率」係電阻率的倒數並且代表材料傳導電流的能力。本文使用的電導率的單位係西門子/釐米(S/cm)。其使用汞探針測量並使用
Figure 02_image070
的解法從在0.2 MV/cm的電場下的電流-電壓曲線計算,其中
Figure 02_image072
係電導率,I係汞探針測量的電流;T係聚合物的厚度;A係汞探針的接觸面積。電場定義為施加的電壓除以聚合物厚度。例如,在圖10中,當電場係0.2 MV/cm時,測量的電流係1.92×10 -11Amp。C4F8聚合物的電導率計算為2.14×10 -9S/cm。
本文使用的術語「高導電性側壁鈍化層」係指高於C 4F 8聚合物的電導率(其計算為2.14×10 -9S/cm)的側壁鈍化層之電導率。
在本文中需注意,術語「膜」和「層」可以互換使用。應理解的是,膜可以對應於層或者與層相關,並且層可以是指膜。此外,熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指放置或鋪展在表面上的一定厚度的某種材料並且該表面可在從與整個晶圓一樣大至與溝槽或線一樣小的範圍內。
在本文中需注意,術語「蝕刻化合物」、「蝕刻劑」、「蝕刻氣體」和「製程氣體」在蝕刻化合物在室溫和環境壓力下呈氣態時可以互換使用。應理解的是,蝕刻化合物可以對應於蝕刻氣體或蝕刻劑或製程氣體,或者與蝕刻氣體或蝕刻劑或製程氣體相關,並且蝕刻氣體或蝕刻劑或製程氣體可以是指蝕刻化合物。
本文中使用來自元素週期表的元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,F係指氟等)。
提供了由化學文摘服務社(Chemical Abstract Service)指定的唯一的CAS登記號(即「CAS」)以識別所揭露之特定分子。
請注意,含矽膜,如SiN和SiO,貫穿本說明書和申請專利範圍列出,而不提及其適當的化學計量學。含矽膜可以包括純矽(Si)層,諸如結晶Si、多晶矽(p-Si或多晶Si)、或非晶矽;氮化矽(Si kN l)層;或氧化矽(Si nO m)層;或其混合物,其中k、I、m、以及n範圍從0.1至6(包括端點)。較佳的是,氮化矽為Si kN l,其中k和I各自範圍從0.5至1.5。更較佳的是,氮化矽為Si 3N 4。在本文中,以下描述中的SiN可以用於表示含Si kN l的層。較佳的是,氧化矽為Si nO m,其中n範圍從0.5至1.5並且m範圍從1.5至3.5。更較佳的是,氧化矽為SiO 2。在本文中,以下描述中的SiO可以用於表示含Si nO m的層。該含矽膜還可能是基於氧化矽的介電材料,諸如基於有機物或基於氧化矽的低- k介電材料,諸如應用材料公司(Applied Materials, Inc.)的Black Diamond II或III材料(具有式SiOCH)。含矽膜還可以包括Si aO bN c,其中a、b、c範圍從0.1至6。含矽膜還可以包括摻雜劑,諸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、及其組合。
當被用於描述R基團的上下文中時,術語「獨立地」應理解為表示對象R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且相對於同樣的R基團的任何附加種類獨立地選擇。例如,在式MR 1 x(NR 2R 3) (4-x)中,其中M係原子,x係2或3,兩個或三個R 1基團可以但是不必是彼此相同的或與R 2或與R 3相同的。此外,應當理解,除非另外特別規定,否則R基團的值在用於不同式中時彼此獨立。
在本文中範圍可以表述為從約一個具體值和/或到約另一個具體值。當表述此種範圍時,應理解的是另一個實施方式係從一個具體值和/或到另一個具體值、連同在所述範圍內的所有組合。
在本文中對「一個實施方式」或「實施方式」的提及意指關於該實施方式描述的特定特徵、結構或特性可以包括在本發明之至少一個實施方式中。說明書中不同地方出現的短語「在一個實施方式中」不一定全部係指同一個實施方式,單獨的或替代性的實施方式也不一定與其他實施方式互斥。上述情況也適用於術語「實施」。
揭露了用於在高縱橫比(HAR)電漿蝕刻製程中,使用蝕刻氣體作為添加劑藉由用Si、C和/或碘元素摻雜在側壁上形成高導電性側壁鈍化層之方法。揭露之方法藉由用Si、C和/或碘元素摻雜,在HAR電漿蝕刻製程中使用添加劑或添加劑化學品形成高導電性側壁鈍化層。該高導電性側壁鈍化層可以是聚合物鈍化層。該聚合物鈍化層的導電狀態藉由去除電荷並確保在低偏置功率水平或小至無偏置功率下臨界尺寸(CD)變化的適當控制來減少沿側壁的電荷積聚以防止HAR結構如孔洞的扭曲。藉由應用添加劑,偏置功率可以比不使用添加劑的情況低至少大約10%,或者甚至不需要偏置功率。
揭露之方法也可以被認為是低偏置能電漿蝕刻,因為在最小化的側壁電荷下,反應離子需要更少的電漿偏置功率來到達HAR溝槽的底部。此外,揭露之包括添加劑的蝕刻氣體或處理氣體不含有任何難以清潔的元素,這也使反應腔室的污染最小化並減少工具保養時間/停機時間。
揭露之方法關於使用氫氟烴蝕刻劑氣體和添加劑氣體在減小的偏置功率下電漿蝕刻圖案化的晶圓或襯底持續預定的時間。該預定的時間可以是在從0 s至1000 s的範圍內以在活化電漿之前穩定腔室中的壓力和氣體流量。
揭露之添加劑或添加劑化學品含有Si、C和/或碘元素,具有下式: CR 1R 2R 3I, SiR 1R 2R 3I, SiR 1R 2I xF (2-x), SiRI yF (3-y), SiI zF (4-z),或 C nF (2n+1)I 其中x=1-2;y=1-3;z=1-4;R、R 1、R 2和R 3各自獨立地選自H,D(氘),C 1-C 10直鏈的、支鏈的或環狀的、飽和或不飽和的、芳族、雜環、部分或完全氟化的、取代或未取代的烴基。R 1和R 2、R 2和R 3、或R 1和R 3也可以連接以形成環狀基團。
以上揭露之添加劑CR 1R 2R 3I可以包括
Figure 02_image001
Figure 02_image034
Figure 02_image036
Figure 02_image036
以上揭露之添加劑SiR 1R 2R 3I可以包括
Figure 02_image007
Figure 02_image039
Figure 02_image041
以上揭露之添加劑SiR 1R 2I xF (2-x)可以包括
Figure 02_image011
Figure 02_image044
Figure 02_image046
Figure 02_image048
Figure 02_image050
Figure 02_image052
以上揭露之添加劑SiRI yF (3-y)可以包括
Figure 02_image054
Figure 02_image056
Figure 02_image058
Figure 02_image060
Figure 02_image062
以上揭露之添加劑SiI zF (4-z)可以包括
Figure 02_image092
Figure 02_image094
揭露之添加劑C nF (2n+1)I包括
Figure 02_image096
示例性的揭露之含有Si、C和/或碘元素的添加劑列在 1中。該等分子係可商購的或可以藉由本領域中已知之方法合成。它們的結構式、CAS號和沸點包括在該表中。揭露之含有Si、C和/或碘元素的添加劑還可以包括它們的異構物。 [ 1].
名稱 結構 CAS號 沸點
碘代甲烷 CH 3I
Figure 02_image098
74-88-4 42.5ºC
碘代苯 C 6H 5I
Figure 02_image100
591-50-4 188.4ºC
2-碘代丙烷 C 3H 7I
Figure 02_image102
75-30-9 90ºC
1-碘代丙烷 C 3H 7I
Figure 02_image003
107-08-4 102.6ºC
1-碘代乙烷 C 2H 5I
Figure 02_image105
75-03-6 72ºC
全氟碘代丁烷 1-C 4F 9I
Figure 02_image107
423-39-2 67.0ºC
二氟碘代甲烷 CHIF 2    1493-03-4 21.6ºC
二氟碘代(五氟乙基)-矽烷 C 2F 7ISi
Figure 02_image109
36972-59-5 52.1±35.0ºC,在760托壓力下
1-(二氟碘代矽基)-2-甲基-苯 C 7H 7F 2ISi
Figure 02_image111
174711-76-3 217.3±33.0ºC
二氟碘代(三氟甲基)-矽烷 CF 5ISi
Figure 02_image113
27668-68-4 44.3±30.0ºC
三乙基碘代-矽烷 C 6H 15ISi
Figure 02_image115
1112-49-8 190.5ºC
氟三碘代-矽烷 FI 3Si
Figure 02_image117
16865-60-4 188ºC 在752托壓力下
二氟二碘代-矽烷 F 2I 2Si
Figure 02_image119
27669-15-4 84.5ºC 在756托壓力下
三氟碘代-矽烷 F 3ISi
Figure 02_image121
27668-68-4 44.3±30.0ºC 在760托壓力下
碘代三甲基-矽烷 C 3H 9ISi
Figure 02_image123
16029-98-4 106-107ºC
二碘代矽烷 SiH 2I 2
Figure 02_image125
13760-02-6 56-60ºC
由於高揮發性係蝕刻氣體較佳的,高揮發性也是添加劑化學品需要的。如上所述,對於添加劑,小烴基用作矽上的取代基,這提供具有高揮發性的揭露之添加劑。使用烴基取代基提供的另一個優點係由於產生差的碳脫離基而增加將碳摻入側壁的鈍化中的可能性。在新穎分子中也推薦在矽上使用芳族取代基,因為將芳族基團包括在側壁的鈍化中可以產生增加之電導率。芳族基團上的取代也是所關注的,因為芳環的電子學可改變電導率。然而,熟悉該項技術者將理解,也可以使用低揮發性蝕刻材料。可藉由各種方法使用低揮發性蝕刻材料,如加熱低揮發性蝕刻材料的來源以增加揮發性,包括加熱含有低揮發性蝕刻材料的容器或圓筒和連接到蝕刻工具的氣體管線,使用鼓泡器法,其中惰性氣體鼓泡通過液體低揮發性蝕刻材料等。
揭露之添加劑適合設計在高縱橫比孔洞/溝槽的側壁上形成的鈍化層特性。側壁鈍化和向下蝕刻同時發生。鈍化層可以來自電漿蝕刻氣體中的碳源、來自蝕刻氣體與被暴露的材料之間的反應、或來自蝕刻製程的副產物的再沈積。蝕刻劑的添加劑藉由引入導電性元素和/或化學鍵強烈影響側壁鈍化的化學組成,由此正影響側壁鈍化之電導率。在電漿蝕刻過程中,可能結構的底部帶正電荷而側壁帶負電荷,由此在結構內建立不希望的局部電場。只有能量大於沿該局部電場的電勢差的高能離子能到達底部。當側壁鈍化的電導率增加時,側壁上的電荷快速消散。需要的偏置功率低於基準製程。
揭露之蝕刻氣體可以是碳氟化合物/氫氟烴。示例性的揭露之碳氟化合物/氫氟烴包括CF 4,CH 3F,C 2F 6,C 3F 8,C 2HF 5,C 5F 8,C 6F 6,C 4F 6,C 4F 8,C 1至C 5飽和的或不飽和的直鏈的、支鏈的、環狀的氫氟烴,如C 4H 2F 6,CHF 3,CH 2F 2,或其組合。
揭露之碳氟化合物/氫氟烴適合蝕刻含矽膜,該含矽膜包括以下項的層,氧化矽(SiO)、氮化矽(SiN)、純矽(Si)如晶體Si、多晶矽(p-Si或多晶Si)、無定形矽、低-k SiCOH、SiOCN、SiC、SiON、SiaObHcCdNe,其中a > 0;b、c、d和e ≥ 0;含金屬膜(例如,銅、鈷、釕、鎢、銦、鉑、鈀、鎳、釕、金等)等。含矽膜還可以包括交替的SiO和SiN(ONON)層或SiO和p-Si(OPOP)層。含矽膜含有O和/或N。含矽膜還可以包括摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、及其組合。
所揭露之碳氟化合物/氫氟烴和添加劑以大於95% v/v純度、較佳的是以大於99.99% v/v純度、並且更較佳的是以大於99.999% v/v純度提供。所揭露之碳氟化合物/氫氟烴和添加劑含有按體積計小於5%的痕量氣體雜質,其中按體積計小於150 ppm的雜質氣體,如N 2和/或H 2O和/或CO 2,包含在所述痕量氣態雜質中。較佳的是,電漿蝕刻氣體中的水含量係按重量計小於20 ppm。可藉由蒸餾和/或使氣體或液體通過適合的吸附劑(如4Å分子篩)產生經純化的產物。
所揭露之碳氟化合物/氫氟烴和添加劑含有小於10% v/v、較佳的是小於1% v/v、更較佳的是小於0.1% v/v、並且甚至更較佳的是小於0.01% v/v的任何其異構物,其可以藉由蒸餾氣體或液體以移除異構物來純化並且可以提供更好的製程可重複性。
所揭露之碳氟化合物/氫氟烴從掩埋著陸層或材料選擇性地蝕刻含矽層,該掩埋著陸層或材料在大多數應用中是位於待蝕刻的結構的底部的金屬層。所揭露之碳氟化合物/氫氟烴不蝕刻金屬著陸層。掩埋著陸層可以是蝕刻終止層或擴散阻擋層。金屬著陸層的材料可以是在3D NAND結構中的鎢金屬加工線和/或另一種金屬(諸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它們的組合)和/或蝕刻終止層諸如金屬或金屬氧化物或氮化物層(諸如AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它們的組合等)。
所揭露之碳氟化合物/氫氟烴可用於電漿蝕刻在襯底上的含矽膜。所揭露之電漿蝕刻方法可以用於製造半導體器件,諸如NAND或3D NAND閘極或者快閃記憶體或DRAM記憶體或電晶體,諸如鰭形場效應電晶體(FinFET)、全環繞閘極(GAA)-FET、奈米線-FET、奈米片-FET、叉片-FET、互補FET(CFET)、塊狀互補金屬氧化物半導體(塊狀CMOS)、MOSFET、完全耗盡型絕緣體上矽(FD-SOI)結構。所揭露之含碘蝕刻化合物可用於其他應用領域,諸如不同的前道工序(FEOL)和後道工序(BEOL)蝕刻應用。此外,所揭露之含碘蝕刻化合物還可以用於在3D矽通孔(TSV)蝕刻應用中蝕刻Si用於將記憶體與在襯底上和MEMS應用中的邏輯電路互相連接。
所揭露之蝕刻方法包括提供具有襯底設置在其中的反應腔室。反應腔室可以是其中進行蝕刻方法的設備內的任何附件或腔室,諸如並且不限於反應性離子蝕刻(RIE)、具有單一或多個頻率RF源的CCP、電感耦合電漿(ICP)、或微波電漿反應器,或能夠選擇性地移除含矽膜的一部分或產生活性物質的其他類型的蝕刻系統。熟悉該項技術者將認識到,不同的電漿反應腔室設計提供不同的電子溫度控制。合適的可商購的電漿反應腔室包括但不限於以商標eMAX TM出售的應用材料公司(Applied Materials)磁增強的反應性離子蝕刻器或以商標2300 ®Flex TM出售的拉姆研究公司(Lam Research)雙重CCP反應性離子蝕刻器介電蝕刻產品家族、或高級微製造設備中國公司(Advanced Micro-Fabrication Equipment Inc. China)(AMEC)Primo SSC HD-RIE蝕刻器。以這樣的電漿反應腔室中的RF功率可以被脈衝化以控制電漿特性,並且從而進一步改進蝕刻性能(選擇性和損害)。
反應腔室可含有一個或多於一個襯底。例如,反應腔室可以含有從1至200個具有從25.4 mm至450 mm的直徑的矽晶圓。襯底可以是在半導體、光伏、平板或LCD-TFT器件製造中使用的任何適合的襯底。合適的襯底的實例包括晶圓,諸如矽、二氧化矽、玻璃、Ge、SiGe、GeSn、InGaAs、GaSb、InP、或GaAs晶圓。從先前的製造步驟,晶圓將具有在其上的多個膜或層,包括含矽膜或層。該等層可以是圖案化的或可以不是圖案化的。合適的層的實例包括但不限於矽(諸如無定形矽、p-Si、晶體矽、其中任一個可以進一步用B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge進行p-摻雜或n-摻雜)、二氧化矽、氮化矽、氧化矽、氧氮化矽、Si aO bH cC dN e(其中a > 0);b、c、d、e ≥ 0)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;掩膜層材料諸如具有或不具有摻雜劑的無定形碳、減反射塗層、光阻劑材料、金屬氧化物(諸如AlO、TiO、HfO、ZrO、SnO、TaO等)或金屬氮化物層(諸如AlN、ZrN、SnN、HfN、氮化鈦、氮化鉭等)或它們的組合;蝕刻終止層材料(諸如氮化矽、多晶矽、晶體矽、碳化矽、SiON、SiCN或其組合)、器件通道材料(諸如晶體矽、外延矽、摻雜矽、Si aO bH cC dN e(其中a > 0;b、c、d、e ≥ 0))或其組合。a-C(無定形碳)係使用PE-CVD製程沈積的碳膜。組成主要是含有某種氫含量的碳。摻雜的a-C係其中在沈積製程期間附加沈積摻雜劑的無定形碳膜。摻雜劑可以包括:硼、鋯、鋁、鈦、鎢。與PE-CVD製程相比,碳膜還可以使用旋塗製程沈積。該氧化矽層可以形成介電材料,諸如基於有機物或基於氧化矽的低k介電材料(例如,多孔SiCOH膜)。示例性的低k介電材料以商品名Black Diamond II或III由應用材料公司出售。此外,可以使用包含鎢或貴金屬(例如鉑、鈀、銠或金)的層。此外,該等含矽膜的實例可以是Si aO bH cC dN e(其中a > 0;b、c、d、e ≥ 0)。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為襯底。
所揭露之蝕刻法包括在將襯底放入腔室之後並且在將揭露之碳氟化合物/氫氟烴引入腔室之前將反應腔室抽至高真空。該高真空可以是在從0.01 毫托-10毫托的範圍內。
還將惰性氣體引入反應腔室中以便維持電漿。該惰性氣體可以為He、Ar、Xe、Kr、Ne、N 2、He或它們的組合。在引入腔室中之前,可以混合蝕刻氣體和惰性氣體,其中該惰性氣體占所得混合物的在大約0.01% v/v與大約99.9% v/v之間。可替代地,可將該惰性氣體連續地引入該腔室中,而以脈衝形式將該蝕刻氣體引入該腔室中。
所揭露之蝕刻氣體的蒸氣和惰性氣體被電漿活化以產生經活化的蝕刻氣體。該電漿將該蝕刻氣體分解成自由基形式(即,經活化的蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用在從約25 W至約100,000 W範圍內的RF功率產生。電漿可以遠端地或在反應器本身內產生。電漿可以以雙重CCP或ICP模式在兩個電極處施加的RF下產生。電漿的RF頻率可在從100 KHz至1 GHz的範圍內。可在同一電極處耦合且施加不同頻率的不同RF源。電漿RF脈衝可進一步用於控制基板處的分子碎片和反應。熟悉該項技術者將認識到適用於此種電漿處理之方法和設備。
四極質譜儀(QMS)、光學發射光譜儀、FTIR或其他自由基/離子測量工具可測量來自腔室排氣的經活化的蝕刻氣體以確定所產生的物種的類型和數目。必要時,可調節蝕刻氣體和/或惰性氣體的流速以增加或減少所產生的自由基物質的數量。
所揭露之蝕刻氣體和添加劑可在引入反應腔室中之前或在該反應腔室內與其他氣體或共反應物混合。較佳的是,可以在引入腔室中之前將該等氣體混合,以便提供均勻濃度的進入氣體。
在另一個替代方案中,可以將氫氟烴蝕刻化合物和添加劑的蒸氣獨立於其他氣體引入腔室中,諸如當兩種或更多種氣體反應或更容易獨立地遞送時。
在另一個替代方案中,該氫氟烴蝕刻氣體和添加劑氣體係在蝕刻製程期間使用的僅有的兩種氣體。
在另一個替代方案中,氫氟烴蝕刻氣體、添加劑氣體和惰性氣體係在蝕刻製程期間使用的僅有的三種氣體。
示例性的其他氣體或共反應物包括但不限於氧化劑,諸如O 2、O 3、CO、CO 2、NO、N 2O、NO 2、H 2O、H 2O 2、COS、SO 2以及其組合。所揭露之蝕刻氣體/添加劑和氧化劑可在引入反應腔室中之前混合在一起。
可替代地,可向該腔室中連續地引入氧化劑且向該腔室中以脈衝形式引入蝕刻氣體。該氧化劑可占引入腔室中的混合物的在大約0.01% v/v至大約99.99% v/v之間(其中99.99% v/v表示針對連續引入替代方案的幾乎純氧化劑的引入)。
所揭露之氫氟烴蝕刻氣體和添加劑氣體可以與其一起使用的其他示例性氣體包括附加蝕刻氣體,諸如cC 4F 8、C 4F 8、cC 5F 8、C 5F 8、C 4F 6、CF 4、CH 3F、CF 3H、CH 2F 2、C 3HF 7、C 3F 6、C 3H 2F 6、C 3H 2F 4、C 3H 3F 5、C 4HF 7、C 5HF 9、C 3F 6、C 3F 8、CF 3I、C 2F 3I、C 2F 5I、C 3F 7I、1-碘七氟丙烷(1-C 3F 7I)、2-碘七氟丙烷(2-C 3F 7I)、C 3HF 7、COS、FNO、F-C≡N、CS 2、SO 2、H 2S、SF 6、反式-1,1,1,4,4,4-六氟-2-丁烯( 反式-C 4H 2F 6)、順式-1,1,1,4,4,4-六氟-2-丁烯( 順式-C 4H 2F 6)、六氟異丁烯(C 4H 2F 6)、反式-1,1,2,2,3,4-六氟環丁烷( 反式-C 4H 2F 6)、1,1,2,2,3-五氟環丁烷(C 4H 3F 5)、1,1,2,2-四氟環丁烷(C 4H 4F 4)、和順式-1,1,2,2,3,4-六氟環丁烷(順式-C 4H 2F 6)或其組合。例如,大約1% v/v至大約25% v/v的所揭露之含碘蝕刻化合物可以與餘量的C 4F 6或cC 4F 8一起使用。如以下實例中所示,所揭露之含碘蝕刻化合物與常規蝕刻氣體的組合可導致增加的蝕刻速率,同時保持襯底和與所揭露之含碘蝕刻化合物相關聯的待蝕刻層之間的較高選擇性。
將所揭露之蝕刻化合物和/或添加劑的蒸氣引入含有襯底和含矽膜的反應腔室中。可以以在從大約0.1 sccm至大約1 slm範圍內的流速分別將蒸氣引入腔室中。例如,對於200 mm晶圓尺寸,可以以在從大約5 sccm至大約50 sccm範圍內的流速將該蒸氣引入該腔室中。可替代地,對於450 mm晶圓尺寸,可以以在從大約25 sccm至大約250 sccm範圍內的流速將該蒸氣引入該腔室中。熟悉該項技術者將認識到,流速可以隨工具不同而不同。可以預混合地或單獨地將所揭露之蝕刻化合物和/或添加劑的蒸氣引入反應腔室中。
所揭露之蝕刻化合物和添加劑可以以純淨形式或以與合適的溶劑如乙苯、二甲苯、均三甲苯、癸烷或十二烷的共混物形式供應。所揭露之化合物和添加劑可以是以不同的濃度存在於溶劑中。可藉由經由常規氣化步驟(諸如直接氣化)使純淨的或共混的揭露之化合物和添加劑溶液氣化或藉由鼓泡來產生蒸氣形式的揭露之化合物和添加劑。該等純淨的或共混的揭露之化合物和添加劑可在將其引入反應器中之前以液態進料到氣化器中,在該氣化器中使其氣化。可替代地,可藉由將載氣傳送至含有所揭露之化合物和添加劑的容器內或藉由將載氣鼓泡進所揭露之化合物和添加劑內使該等純淨的或共混的揭露之化合物和添加劑氣化。載氣可以包括但不限於Ar、He、N 2、Xe、Kr、Ne及其混合物。該載氣和所揭露之化合物和添加劑然後作為蒸氣被引入反應器中。
如果必要,含有所揭露之化合物和添加劑的容器可以被加熱到允許所揭露之化合物和添加劑處於液相並具有足夠的蒸氣壓的溫度。可以將容器維持在例如大約0ºC至大約150ºC的範圍內的溫度下。還可以使從鼓泡器至蝕刻工具的管線維持在處於或高於容器的溫度的溫度。熟悉該項技術者認識到可以按已知方式調節該容器的溫度以控制氣化的所揭露之化合物和添加劑的量。
在引入反應腔室中之前可以將所揭露之氫氟烴蝕刻氣體和添加劑氣體的蒸氣與附加的蝕刻氣體混合。該附加的蝕刻氣體可占引入腔室中的混合物的在大約0.01% v/v至大約99.99% v/v之間。
所揭露之蝕刻氣體的蒸氣和附加氣體如惰性氣體和共反應物被電漿活化以產生經活化的蝕刻氣體。該電漿將該蝕刻氣體分解成自由基形式或離子(即,經活化的蝕刻氣體)。電漿可藉由施加RF或DC功率產生。在去耦電漿蝕刻反應器中,電漿可用在從約25 W至約100,000 W範圍內的RF源功率產生。電漿可以遠端地或在反應器本身內產生。電漿可以以但不限於雙重CCP或ICP模式在兩個電極處施加的RF下產生。電漿的RF頻率可在從100 KHz至1 GHz的範圍內。可在同一電極處耦合且施加不同頻率的不同RF源。電漿RF脈衝可作為偏置功率進一步用於控制襯底處的分子分裂和反應。熟悉該項技術者將認識到適用於此種電漿處理之方法和設備。
由於所揭露之添加劑與氫氟烴或碳氟化合物一起使用,偏置功率相比沒有添加劑的蝕刻可以是低的。在所揭露之方法中,藉由應用添加劑,偏置功率可以比不使用添加劑的情況低至少大約10%,根據以下實例。
所揭露之在高縱橫比圖案化的結構上形成高導電性側壁鈍化層之方法包括i) 將蝕刻劑的蒸氣引入含有襯底的反應器;ii) 藉由施加源功率在反應器中由蝕刻劑產生離子電漿;iii) 藉由施加減小的偏置功率使離子向襯底擴散,使得沒有被襯底上的圖案化的掩膜層覆蓋的襯底部分被選擇性地蝕刻掉,形成高縱橫比圖案化的結構;以及iv) 保持該製程運行預定的時間並且關閉源功率和低偏置功率直到在高縱橫比圖案化的結構的側壁上形成高導電性側壁鈍化層。
這裡,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層。蝕刻劑包括氫氟烴或碳氟化合物和添加劑化合物。蝕刻劑還可以包括共反應物和/或惰性氣體。在將蝕刻劑引入反應器後,允許蝕刻劑達到平衡。減小的偏置功率可以比蝕刻劑中沒有添加添加劑的偏置功率低至少10%。預定的時間在從1 s至1000 s的範圍內。選擇氫氟烴或碳氟化合物與添加劑化合物的比率,使得側壁表面新形成的部分變得鈍化並且受到保護以免於進一步被蝕刻。被引入反應器中的氫氟烴或碳氟化合物與添加劑化合物的比率可藉由流速從1 : 99變化至99 : 1。
在將蝕刻劑引入反應器之前,將反應器抽至在從0.001毫托至100毫托範圍內的高真空,在蝕刻製程之後,可用惰性氣體吹掃反應器。
可藉由控制基底固持器的溫度或控制反應器壁的溫度來控制反應器腔室的溫度。用於加熱襯底的裝置在本領域中是已知的。反應器壁被加熱至足夠的溫度以防止壁或反應器腔室上的凝結,尤其是當使用其中基底溫度高於壁溫的噴淋頭反應器時。非限制性示例性溫度範圍(可將反應器壁加熱到該溫度範圍)包括從大約-100ºC(LN溫度)至大約500ºC、較佳的是從大約20ºC至大約150ºC、更較佳的是從20ºC至大約110ºC的範圍。
將反應腔室內的壓力保持在適合蝕刻劑或製程氣體蝕刻沒有被圖案化的掩膜層覆蓋的襯底部分的條件下。這裡,蝕刻劑或製程氣體可以包括氫氟烴或碳氟化合物蝕刻氣體、添加劑、共反應物等。例如,反應器中的壓力可以保持在大約1毫托與大約100托之間、較佳的是大約1毫托與大約50托之間、更較佳的是大約1毫托與大約10托之間、甚至更較佳的是大約1毫托與大約50毫托之間。
蝕刻條件可在蝕刻製程期間變化。例如參數如氣體流量、電漿功率、壓力、溫度在蝕刻開始部分期間可以比靠近孔洞或溝槽的底部的蝕刻結束部分更高或更低。可替代地,在蝕刻中的不同點處可以添加不同的蝕刻氣體以改進性能,如降低或提高聚合物沈積速率。
所揭露之蝕刻方法在HAR結構(諸如具有在從1 : 1至200 : 1範圍內的縱橫比的那些,諸如DRAM和3D NAND結構)和接觸蝕刻應用中提供對於掩膜層、光阻劑、蝕刻終止層和器件通道材料的高選擇性並且沒有輪廓變形。可替代地,縱橫比在從1 : 1至20 : 1的範圍內並且縱橫比在從21 : 1至200 : 1的範圍內。所揭露之蝕刻方法適合蝕刻具有在大約1 : 1與大約200 : 1之間的縱橫比的HAR圖案化的結構。可替代地,所揭露之蝕刻方法適合蝕刻具有在大約1 : 1與大約20 : 1之間的縱橫比、在大約21 : 1與大約200 : 1之間的縱橫比、在大約1 : 1與大約60 : 1之間的縱橫比、或在大約61 : 1與大約200 : 1之間的縱橫比的HAR圖案化的結構。 實例
提供以下非限制性實例來進一步說明本發明之實施方式。然後,該等實例不旨在皆為包括所有實例,並且也不旨在限制本文所述發明之範圍。
在以下實例中,用市售LAM工具4520XLe 200 mm(CCP雙重頻率電漿)或可替代地用市售AMEC 300 mm Primo SSC HD-RIE蝕刻器進行實驗。為了證明可重複性,各蝕刻測試已經重複至少三次。三次測量的平均值的標準差在圖表中示出為誤差條。隨後,藉由X射線光電子能譜法(XPS)研究了聚合物組成。 實例 1:聚合物電導率測量
1 3分別是在平面晶圓上測量的具有和沒有添加劑CH 3I、C 4F 9I或SiH 2I 2的C 4H 2F 6之電導率。使用汞探針測量電流(I)-電壓(V)。將一滴去離子水添加到該晶圓的背面以改善接觸電阻,這可以説明在更低電壓下降低測量噪音。在相同的電場強度下,添加到氫氟烴的碘分子添加劑引起藉由聚合物的更高的測得電流和更低的擊穿電壓。具有添加劑的C 4H 2F 6的電導率相比沒有該添加劑的C 4H 2F 6的電導率增加。參見 1 3中的電流差。如 1中所示,在0.2 MV/cm的電場下計算電導率,並且在0.2 MV/cm的電場下的電導率的增加係>10%。 [ 1]
   電導率(S/cm)
C 4H 2F 6 2.97×10 -9
C 4H 2F 6+ CH 3I 3.52×10 -9
C 4H 2F 6+ C 4F 9I 8.35×10 -9
C 4H 2F 6+ SiH 2I 2 1.14×10 -7
實例 2:使用CH 3I作為添加劑的ONON孔洞圖案蝕刻
由於在平面薄膜上有前途的性能(對a-C掩膜更高的選擇性和增加的聚合物電導率),將CH 3I加入包括C 4H 2F 6的蝕刻配方用於在圖案化的晶圓或襯底上進行ONON(即,SiO/SiN交替層)孔洞圖案蝕刻。該圖案化的晶圓具有ONON層,其中孔洞圖案化的無定形碳(a-C)掩膜層被沈積在其上。該蝕刻配方還可以包括O 2
測試了用於初步篩選的四種條件:C 4H 2F 6/CH 3I流速在30/10 sccm、35/5 sccm、40/5 sccm和40/10 sccm,其中30/10 sccm和35/5 sccm具有相同的總氣體流量。由於CH 3I聚合性非常強,對於CH 3I流速係10 sccm或更高的情況藉由SEM圖像觀察到蝕刻終止。40/5 sccm的條件顯示改進的蝕刻選擇性,然而需要進一步的蝕刻配方調整。SEM條件如下。加速電壓:5.0 kV;發射電流:20 μA;放大倍數:×30.0 k。
CH 3I的聚合性非常強,以10 sccm或更高的流速加入ONON蝕刻組成物可堵塞圖案化的掩膜層並進一步導致蝕刻終止。伴隨CH 3I加入到蝕刻配方中,O 2流速的製程窗口從68 sccm移至74-76 sccm。對於優化的CH 3I配方:ONON蝕刻速率(ER):510 nm/min(沒有CH 3I,測得的ONON ER係516 nm/min);ONON/a-C選擇性:11.2 - 12(沒有CH 3I,ONON/a-C選擇性係11.4 - 12)。 4 9分別是具有和沒有CH 3I添加劑的C 4H 2F 6的ER、選擇性、側壁彎曲、橢圓率、不同偏置功率下的臨界尺寸(CD)的比較。總體地,具有CH 3I的配方相比沒有CH 3I的配方顯示對偏置功率的更少的依賴(ONON蝕刻速率、選擇性、彎曲、橢圓率、輪廓CD)。
更具體地,如 6中所示,在7000 W的偏置功率下,將CH 3I加入配方不顯示a-C掩膜孔洞的顯著的橢圓率變化,對於沒有CH 3I的配方測得的橢圓率係93%並且對於具有CH 3I的配方測得的橢圓率係94%。在5600 W的偏置功率下,對於沒有CH 3I的配方,a-C掩膜輪廓伴隨偏置功率減小而劣化,而對於具有CH 3I的配方觀察到較小影響。在4200 W的偏置功率下,具有CH 3I的配方顯示改進的蝕刻性能,包括ER、選擇性、a-C掩膜橢圓率和傾斜角。表2總結了蝕刻性能。 [ 2].蝕刻性能總結
蝕刻性能 @ 7000 W 偏置功率 @ 5600 W 偏置功率 (減小20%) @ 4200 W 偏置功率 (減小40%)
無CH 3I 有CH 3I 無CH 3I 有CH 3I 無CH 3I 有CH 3I
ER (ONON層) 516 510 510 501 483 505
選擇性 (ONON/a-C) 11.4-12 11.2-12 約12.2 約12.8 約9.1 約11.4
輪廓彎曲 (彎曲CD-頸縮CD) 40 39 40 25 38 31
橢圓率 (a-C掩膜) 93% 94% 91% 94% 89% 95%
總之,具有添加劑CH 3I,HAR特徵的蝕刻前沿和側壁上的表面鈍化(又稱聚合物層)被改進並且該鈍化隨著變化的電漿蝕刻條件(RF功率、製程時間等)而改變。此外,CH 3I作為添加劑加入氫氟烴蝕刻氣體中使偏置功率減小40%而沒有損害蝕刻性能如蝕刻速率、選擇性、橢圓率、輪廓CD等。 實例 3:聚合物化學組成和聚合物電導率
襯底:為了獲得僅聚合物的I-V特性,使用低電阻率Si襯底(小於0.02 Ohm.cm)代替SiO 2襯底進行聚合物沈積。該Si襯底被切割成1英吋×1英吋試樣以便使用汞探針進行I-V測量。
聚合物沈積:對於C 4F 8、C 4H 2F 6、C 4F 9I、C 4F 8+ C 4F 9I和C 4H 2F 6+ C 4F 9I,使用相同的沈積製程條件;藉由橢圓率計測量沈積的聚合物的厚度;對於在離子阻塞的區域上的聚合物,測量離遮罩試樣邊緣1 mm位置上的厚度。
Lam工具實驗條件:RF源功率:750 W;偏置功率:1500 W;Ar/蝕刻氣體(或氣體混合物)/O 2:250/15/0;蝕刻時間:30秒。
10係各種聚合物化學組成和聚合物電導率。電流(I)-電壓(V)測量與實例1中的那些相同。如所示的,在相同的電場強度下,加入氫氟烴的碘分子添加劑引起藉由聚合物的更高的測得電流和更低的擊穿電壓,並且在0.2 MV/cm下各種聚合物和碘分子添加劑的電導率係如下:C 4F 9I > C 4H 2F 6+ C 4F 9I > C 4F 8+C 4F 9I > C 4H 2F 6> C 4F 8。具有更高的C-C:C-Fx/C-I比率的聚合物具有更高的擊穿電壓並且電力上更強。C-F x鍵可對聚合物電導率有貢獻。C-C鍵豐富的聚合物的導電性小於C-F x鍵豐富的聚合物。
3顯示C-C:C-F x/C-I(x係整數)鍵濃度比率。C-C:C-F x/C-I鍵濃度比率從低到高係C 4F 9I < C 4F 8+ C 4F 9I < C 4F 8<C 4H 2F 6+ C 4F 9I <C 4H 2F 6。C-C鍵係非極性共價鍵;C-Fx/C-I鍵係極性共價鍵。在0.2 MV/cm的電場下計算電導率,並且在0.2 MV/cm的電場下的電導率的增加係>10%。 [ 3]
   C-C C-Fx/C-I C-C:C-Fx/C-I比率 電導率(S/cm)*
C 4F 8 38.2% 56.35% 0.68 2.14×10 -9
C 4H 2F 6 41.97% 48.32% 0.87 2.97×10 -9
C 4F 9I 30.93% 56.23% 0.55 1.32×10 -8
C 4F 8+ C 4F 9I 35.92% 55.69% 0.64 6.65×10 -9
C 4H 2F 6+ C 4F 9I 34.48% 47.21% 0.73 8.35×10 -9
雖然由於在變化的電場下不同的傳導機制,說出聚合物化學組成和電導率係如何互相關聯係有挑戰性的,但是,總體上,向聚合物添加碘添加劑使其更導電,這進而使偏置功率相比於沒有使用添加劑的情況減小至少大約10%,或甚至不需要偏置功率,而不損害蝕刻性能,如蝕刻速率、選擇性、橢圓率、輪廓CD等,如實例2中所示。
應當理解,由熟悉該項技術者可在如所附請求項中所表述的本發明之原則和範圍內做出本文已經描述且闡明以解釋本發明之本質的細節、材料、步驟和零件佈置上的許多附加的改變。因此,本發明不意圖限於上面給出的實例和/或附圖中的特定實施方式。
儘管已示出且描述了本發明之實施方式,但熟悉該項技術者可在不脫離本發明之精神或傳授內容的情況下對其進行修改。本文所述之實施方式僅是示例性的而不是限制性的。組成物和方法的許多變化和修改係可能的,並且在本發明之範圍內。因此,保護範圍不限於本文描述之實施方式,而僅受隨後的請求項所限定,其範圍應包括請求項的主題之所有等效物。
為了進一步理解本發明之本質和目的,應結合附圖來參考以下詳細說明,在附圖中相似元件給予相同或類似的附圖標記,並且在附圖中: [ 1]係在平面晶圓上測量的具有和沒有添加劑CH 3I的C 4H 2F 6之電導率; [ 2]係在平面晶圓上測量的具有和沒有添加劑C 4F 9I的C 4H 2F 6之電導率; [ 3]係在平面晶圓上測量的具有和沒有添加劑SiH 2I 2的C 4H 2F 6之電導率; [ 4]係分別在具有和沒有CH 3I添加劑的C 4H 2F 6的ER和選擇性之比較; [ 5]係具有和沒有CH 3I添加劑的C 4H 2F 6的側壁彎曲之比較; [ 6]係具有和沒有CH 3I添加劑的C 4H 2F 6的橢圓率之比較; [ 7]係偏置功率為7000 W時具有和沒有CH 3I添加劑的C 4H 2F 6的臨界尺寸(CD)之比較; [ 8]係偏置功率為5600 W時具有和沒有CH 3I添加劑的C 4H 2F 6的CD之比較; [ 9]係偏置功率為4200 W時具有和沒有CH 3I添加劑的C 4H 2F 6的CD之比較;以及 [ 10]係各種聚合物化學組成和聚合物電導率。

Claims (20)

  1. 一種用於在反應腔室中在高縱橫比(HAR)蝕刻製程期間在襯底中形成HAR結構之方法,該方法包括: 使該襯底順序地或同時暴露於包括氫氟烴或碳氟化合物的蝕刻劑和添加劑化合物的蒸氣,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層; 活化電漿以產生活化的氫氟烴或碳氟化合物和活化的添加劑化合物;以及 允許在該圖案化的掩膜層未覆蓋的該膜與該活化的氫氟烴或碳氟化合物和該活化的添加劑化合物之間進行蝕刻反應以從該圖案化的掩膜層選擇性地蝕刻該膜,由此形成HAR圖案化的結構。
  2. 如請求項1所述之方法,其進一步包括將氧化劑引入該反應腔室的步驟,其中該氧化劑選自O 2、O 3、CO、CO 2、NO、N 2O、NO 2、H 2O、H 2O 2、COS、SO 2以及其組合。
  3. 如請求項1所述之方法,其進一步包括將惰性氣體引入該反應腔室的步驟,其中該惰性氣體選自由He、Ar、Xe、Kr、Ne和N 2組成之群組。
  4. 如請求項1所述之方法,其中,在該HAR圖案化的結構的側壁上形成高導電性側壁鈍化層。
  5. 如請求項1至4中任一項所述之方法,其中,用該活化的氫氟烴或碳氟化合物和該活化的添加劑化合物形成的高導電性側壁鈍化層的電導率比用沒有添加該活化的添加劑化合物的該活化的氫氟烴或碳氟化合物形成的高導電性側壁鈍化層的電導率高至少大約10%。
  6. 如請求項1所述之方法,其中,該氫氟烴或碳氟化合物包括CF 4,CH 3F,C 2F 6,C 3F 8,C 2HF 5,C 5F 8,C 6F 6,C 4F 6,C 4F 8,C 1至C 5飽和的或不飽和的直鏈的、支鏈的、環狀的氫氟烴,如C 4H 2F 6,CHF 3,CH 2F 2,或其組合。
  7. 如請求項1所述之方法,其中,該氫氟烴或碳氟化合物係C 4H 2F 6
  8. 如請求項1所述之方法,其中,該添加劑化合物含有矽、碳和/或碘元素,具有下式: C nR 1R 2R 3I, SiR 1R 2R 3I, SiR 1R 2I xF (2-x), SiRI yF (3-y), SiI zF (4-z),或 C nF (2n+1)I 其中n = 1至10;x=1-2;y=1-3;z=1-3;R、R 1、R 2和R 3各自獨立地選自H,C 1-C 10直鏈的、支鏈的或環狀的、飽和的或不飽和的、芳族、雜環、部分或完全氟化的、取代或未取代的烴基;R 1和R 2、R 2和R 3、或R 1和R 3也可以連接以形成環狀基團。
  9. 如請求項1至4和6至8中任一項所述之方法,其中,該添加劑化合物選自
    Figure 03_image127
    Figure 03_image003
    Figure 03_image005
    Figure 03_image007
    Figure 03_image009
    Figure 03_image011
    Figure 03_image013
    Figure 03_image135
    Figure 03_image137
    Figure 03_image139
    Figure 03_image021
    Figure 03_image023
    Figure 03_image025
    Figure 03_image027
    Figure 03_image029
    Figure 03_image146
  10. 如請求項1至4和6至8中任一項所述之方法,其中,該膜係含矽膜,其含有O和/或N並且視需要含有摻雜劑,如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及其組合。
  11. 如請求項1至4和6至8中任一項所述之方法,其中,該圖案化的掩膜層係a-C層,摻雜的a-C層,光阻劑層,減反射層,有機平坦化層,多晶矽層,金屬氧化物層如Ti、Al、Zr、Hf等的氧化物、及其組合。
  12. 如請求項1至4和6至8中任一項所述之方法,其中,在該膜中所形成的該HAR圖案化的結構具有在大約1 : 1與大約200 : 1之間的縱橫比。
  13. 如請求項1至4和6至8中任一項所述之方法,其進一步包括將附加蝕刻氣體引入該反應腔室,其中該附加蝕刻氣體選自由以下組成之群組:cC 4F 8、C 4F 8、cC 5F 8、C 5F 8、C 4F 6、CF 4、CH 3F、CF 3H、CH 2F 2、C 3HF 7、C 3F 6、C 3H 2F 6、C 3H 2F 4、C 3H 3F 5、C 4HF 7、C 5HF 9、C 3F 6、C 3F 8、CF 3I、C 2F 3I、C 2F 5I、C 3F 7I、1-碘七氟丙烷(1-C 3F 7I)、2-碘七氟丙烷(2-C 3F 7I)、C 3HF 7、COS、FNO、F-C≡N、CS 2、SO 2、SF 6、反式-1,1,1,4,4,4-六氟-2-丁烯( 反式-C 4H 2F 6)、順式-1,1,1,4,4,4-六氟-2-丁烯( 順式-C 4H 2F 6)、六氟異丁烯(C 4H 2F 6)、反式-1,1,2,2,3,4-六氟環丁烷( 反式-C 4H 2F 6)、1,1,2,2,3-五氟環丁烷(C 4H 3F 5)、1,1,2,2-四氟環丁烷(C 4H 4F 4)、和順式-1,1,2,2,3,4-六氟環丁烷( 順式-C 4H 2F 6)以及其組合。
  14. 一種用於形成HAR圖案化的結構之方法,該方法包括以下步驟: 使襯底順序地或同時暴露於C 4H 2F 6和CH 3I的蒸氣,該襯底具有設置在其上的膜和設置在該膜上的圖案化的掩膜層; 活化電漿以產生活化的C 4H 2F 6和活化的CH 3I;以及 允許在該圖案化的掩膜層未覆蓋的該膜與該活化的C 4H 2F 6和該CH 3I之間進行蝕刻反應以從該圖案化的掩膜層選擇性地蝕刻該膜,由此形成該HAR圖案化的結構。
  15. 如請求項14所述之方法,其進一步包括將氧化劑引入該反應腔室的步驟,其中該氧化劑選自O 2、O 3、CO、CO 2、NO、N 2O、NO 2、H 2O、H 2O 2、COS、SO 2以及其組合。
  16. 如請求項14所述之方法,其進一步包括將惰性氣體引入該反應腔室的步驟,其中該惰性氣體選自由He、Ar、Xe、Kr、Ne和N 2組成之群組。
  17. 如請求項14所述之方法,其中,在該HAR圖案化的結構的側壁上形成高導電性側壁鈍化層。
  18. 如請求項14至17中任一項所述之方法,其中,用該活化的C 4H 2F 6和該活化的CH 3I形成的高導電性側壁鈍化層的電導率比用沒有添加該活化的CH 3I的該活化的C 4H 2F 6形成的高導電性側壁鈍化層的電導率高至少大約10%。
  19. 如請求項14至17中任一項所述之方法,其中,在該膜中所形成的該HAR圖案化的結構具有在大約1 : 1與大約200 : 1之間的縱橫比。
  20. 如請求項14至17中任一項所述之方法,其進一步包括將附加蝕刻氣體引入該反應腔室,其中該附加蝕刻氣體選自由以下組成之群組:cC 4F 8、C 4F 8、cC 5F 8、C 5F 8、C 4F 6、CF 4、CH 3F、CF 3H、CH 2F 2、C 3HF 7、C 3F 6、C 3H 2F 6、C 3H 2F 4、C 3H 3F 5、C 4HF 7、C 5HF 9、C 3F 6、C 3F 8、CF 3I、C 2F 3I、C 2F 5I、C 3F 7I、1-碘七氟丙烷(1-C 3F 7I)、2-碘七氟丙烷(2-C 3F 7I)、C 3HF 7、COS、FNO、F-C≡N、CS 2、SO 2、SF 6、反式-1,1,1,4,4,4-六氟-2-丁烯( 反式-C 4H 2F 6)、順式-1,1,1,4,4,4-六氟-2-丁烯( 順式-C 4H 2F 6)、六氟異丁烯(C 4H 2F 6)、反式-1,1,2,2,3,4-六氟環丁烷( 反式-C 4H 2F 6)、1,1,2,2,3-五氟環丁烷(C 4H 3F 5)、1,1,2,2-四氟環丁烷(C 4H 4F 4)、和順式-1,1,2,2,3,4-六氟環丁烷( 順式-C 4H 2F 6)以及其組合。
TW110148643A 2020-12-28 2021-12-24 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法 TWI824361B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/135,216 US20220223431A1 (en) 2020-12-28 2020-12-28 High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US17/135,216 2020-12-28

Publications (2)

Publication Number Publication Date
TW202236419A true TW202236419A (zh) 2022-09-16
TWI824361B TWI824361B (zh) 2023-12-01

Family

ID=82259627

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112145201A TW202412105A (zh) 2020-12-28 2021-12-24 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
TW110148643A TWI824361B (zh) 2020-12-28 2021-12-24 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112145201A TW202412105A (zh) 2020-12-28 2021-12-24 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法

Country Status (7)

Country Link
US (1) US20220223431A1 (zh)
EP (1) EP4267692A1 (zh)
JP (1) JP2024500969A (zh)
KR (1) KR20230125268A (zh)
CN (1) CN116848215A (zh)
TW (2) TW202412105A (zh)
WO (1) WO2022146697A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
JP2022107943A (ja) * 2021-01-12 2022-07-25 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20240162042A1 (en) * 2022-10-26 2024-05-16 American Air Liquide, Inc. Etching methods with alternating non-plasma and plasma etching processes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US5840630A (en) * 1996-12-20 1998-11-24 Schlumberger Technologies Inc. FBI etching enhanced with 1,2 di-iodo-ethane
JP3961247B2 (ja) * 2001-08-17 2007-08-22 株式会社東芝 プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
WO2005043701A1 (en) * 2003-10-31 2005-05-12 Bookham Technology Plc Method for manufacturing gratings in semiconductor materials
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR20090017120A (ko) * 2007-08-14 2009-02-18 삼성전자주식회사 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
SG11201503321XA (en) * 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
CN112133676A (zh) * 2020-09-14 2020-12-25 江苏集创原子团簇科技研究院有限公司 一种在衬底上的高深宽比接触通孔的底部打开保护层的方法
JP2022065303A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
JP2024500969A (ja) 2024-01-10
TW202412105A (zh) 2024-03-16
EP4267692A1 (en) 2023-11-01
WO2022146697A1 (en) 2022-07-07
KR20230125268A (ko) 2023-08-29
CN116848215A (zh) 2023-10-03
TWI824361B (zh) 2023-12-01
US20220223431A1 (en) 2022-07-14

Similar Documents

Publication Publication Date Title
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
CN107924842B (zh) 用于蚀刻半导体结构的含氮化合物
KR102459749B1 (ko) 3D NAND 소자 분야를 위한 비-플라즈마 건식 프로세스를 이용한 SIO2에 대한 SiN의 선택적 에칭
TWI824361B (zh) 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
TW202414576A (zh) 側壁鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
WO2023244214A1 (en) Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
WO2024145170A1 (en) Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition