CN116848215A - 高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的方法 - Google Patents

高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的方法 Download PDF

Info

Publication number
CN116848215A
CN116848215A CN202180093364.7A CN202180093364A CN116848215A CN 116848215 A CN116848215 A CN 116848215A CN 202180093364 A CN202180093364 A CN 202180093364A CN 116848215 A CN116848215 A CN 116848215A
Authority
CN
China
Prior art keywords
layer
activated
etching
film
har
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180093364.7A
Other languages
English (en)
Inventor
郭翔宇
K·迪莫兹
南森·斯塔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN116848215A publication Critical patent/CN116848215A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

披露了用于在反应腔室中在高纵横比(HAR)蚀刻工艺期间在衬底中形成HAR结构的方法,该方法包括:使该衬底顺序地或同时暴露于包括氢氟烃或碳氟化合物的蚀刻剂和添加剂化合物的蒸气,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层;活化等离子体以产生活化的氢氟烃或碳氟化合物和活化的添加剂化合物;以及允许在该图案化的掩膜层未覆盖的该膜与该活化的氢氟烃或碳氟化合物和该活化的添加剂化合物之间进行蚀刻反应以从该图案化的掩膜层选择性地蚀刻该膜,由此形成HAR图案化的结构。

Description

高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的 方法
相关申请的交叉引用
本申请要求于2020年12月28日提交的美国申请号17/135,216的权益,出于所有的目的将该申请以其全文通过援引并入本文。
技术领域
披露了用于在高纵横比(HAR)等离子体蚀刻期间,使用蚀刻气体作为添加剂通过用Si、C和/或碘元素掺杂和/或引入环状、芳族、杂环化学结构在侧壁上形成高导电性侧壁钝化层的方法。
背景技术
50多年来,摩尔定律(Moore’s Law)驱使半导体制造商持续缩小设备特征尺寸以提高晶体管/芯片的速度和容量,同时维持相对于竞争对手的成本优势。这在制造方法中带来新的挑战以成功满足特征的日益缩小的尺寸和急剧增加的纵横比的需求。例如,三维栅极叠层NAND快闪式存储器(3D-NAND)的制造要求能够蚀刻纵横比大于40的小孔洞特征(穿过90+NAND层)。超过万亿个孔洞需要使用极高纵横比(HAR)蚀刻来蚀刻在每一个晶片上。
通过在等离子体壳层形成期间的离子传输获得蚀刻的特征的竖直各向同性。原则上,正的和负的颗粒在孔洞内应该具有相同的轨迹并且在HAR孔洞底部处平衡电荷。但是,由于电子遮蔽效应,电荷在HAR掩膜图案底部处积聚,这能导致不完全蚀刻、弯曲、扭曲、和HAR叠层的顶部与底部之间临界尺寸(CD)的变化。因此,工业界做出了许多努力并且正在持续努力除去或最小化HAR蚀刻期间的侧壁电荷积聚,以改善蚀刻轮廓和CD控制。
已知接触孔变形是由接触孔洞侧壁的不对称带电造成的,该不对称带电改变接触孔洞内的局部电场并且改变接触孔洞内反应离子的方向(参见Kim等人,J.Vac.Sci.Technol.A[真空科学与技术杂志A],第33卷,021303-5(2015)和Negishi等人,J.Vac.Sci.Technol.B[真空科学与技术杂志B],第35卷,051205(2017))。在HAR蚀刻中,已经使用椭圆率来评估掩膜劣化。更高的椭圆率(接近100%)可帮助避免HAR孔洞扭曲和减少蚀刻轮廓变形。
以下是已经用于在HAR蚀刻期间调整钝化层特性的方法的一些实例,而随之而来的后果自然是1)增加至处理腔室的气体/化学品递送装置的复杂性;2)在HAR特征的顶部和底部处的钝化层的均一性差;3)腔室清洁问题-一些含金属的聚合物沉积在腔室壁上,其难以被完全除去,等。
Sandhu等人的US20070049018披露使用硬光致抗蚀剂掩膜在氧化物层中HAR接触蚀刻基本上竖直的接触孔洞的方法。等离子体蚀刻气体是包含以下之一的烃氟化物:CH2F2、C4F8;C3H3F5、C4F8;CHF3;C2F6;C2HF5、CH3F;或其组合。掺杂剂分子包含HI、CH3I、碳、钾、钙、PF6、BF3、氯化物、AsF6或其组合中的一种。掺杂的等离子体蚀刻气体通过以下方式蚀刻基本上竖直的接触孔洞穿过氧化物层:掺杂在蚀刻工艺期间沿接触孔洞的侧壁形成的碳链聚合物为导电状态。该碳链聚合物的导电状态通过去除电荷并确保与着陆区的有效面积适当对准,减少沿侧壁的电荷积聚以防止接触孔洞的扭曲。蚀刻在下面的衬底处停止。
Bera等人的US 7846846B2披露通过在每个接触开口的侧壁上形成高导电性的薄膜来蚀刻HAR接触开口同时防止蚀刻轮廓的弯曲或折弯的方法。侧壁上薄膜的电导率通过在蚀刻工艺期间周期性地进行离子轰击来提高。蚀刻剂是碳氟化合物/氟代烃气体,其包含以下中的至少一种:C2F4,C4F6,CH2F2或C4F8,C1至C5饱和的或不饱和的直链的、支链的、环状的氢氟烃,如C4H2F6,CHF3,CH2F2,或其组合。
Nikhil等人的US 9543158披露用于在衬底上的介电材料中形成凹入的特征的各种方法、设备和系统。在一些情况下,使用等离子体辅助原子层沉积、改性等离子体辅助原子层沉积、或等离子体辅助的化学气相沉积来沉积保护涂层。蚀刻化学成分是碳氟化合物和氧气、C4F6、C4F3、N2、CO、CF4和O2的组合。保护层是陶瓷材料或有机聚合物。对于含硼材料,如氧化硼(BxOy)和氮化硼(BxNy),反应物包括但不限于,硼酸三异丙酯([(CH3)2CHO]3B)、三甲基硼-d9(B(CD3)3)等。对于含硅材料,如氧化硅(SixOy)和氮化硅(SixNy),反应物可以是例如硅烷、卤代硅烷或氨基硅烷。
HAR蚀刻工艺变成存储设备的关键工艺。HAR特征的通过增加有效偏置功率的离子能量控制不断取得进展。已做出巨大努力来增加离子能量以便克服HAR孔洞内蚀刻前沿上的电荷积聚。基于过去数年偏置功率的趋势,所需的功率目前将超过20kW。当偏置功率增加时,许多挑战出现。防止形成电弧和有效的冷却以及功率输送系统对于实现高功率容量都是关键的。此外,随着纵横比增加,补偿中性熔剂更加困难,因为中性物质仅通过穿孔扩散来传递。
因此,需要获得具有减小的偏置功率的高导电性侧壁钝化层。
发明内容
披露了用于在反应腔室中在高纵横比(HAR)蚀刻工艺期间在衬底中形成HAR结构的方法,该方法包括:
使该衬底顺序地或同时暴露于包括氢氟烃或碳氟化合物的蚀刻剂和添加剂化合物的蒸气,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层;
活化等离子体以产生活化的氢氟烃或碳氟化合物和活化的添加剂化合物;以及
允许在该图案化的掩膜层未覆盖的该膜与该活化的氢氟烃或碳氟化合物和该活化的添加剂化合物之间进行蚀刻反应以从该图案化的掩膜层选择性地蚀刻该膜,由此形成HAR图案化的结构。所披露的方法可以包括以下方面中的一项或多项:
·进一步包括将氧化剂引入反应腔室的步骤,其中氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及其组合;
·该氧化剂是O2
·该氧化剂是O3
·该氧化剂是CO;
·在引入腔室之前将蚀刻化合物、添加剂和含氧气体混合以产生混合物;
·将蚀刻化合物和添加剂与含氧气体分开地引入;
·将含氧气体连续引入并将含碘蚀刻化合物引入;
·含氧气体占蚀刻化合物、添加剂和含氧气体的总体积的大约0.01%v/v至大约99.9%v/v;
·含氧气体占蚀刻化合物、添加剂和含氧气体的总体积的大约0.01%v/v至大约10%v/v;
·包括将惰性气体引入反应腔室的步骤,其中惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组;
·该惰性气体是Ar;
·该惰性气体是Xe;
·该惰性气体是Kr;
·在引入腔室之前将蚀刻化合物、添加剂和惰性气体混合以产生混合物;
·将蚀刻化合物和添加剂与惰性气体分开地引入;
·将惰性气体连续引入并将蚀刻化合物和添加剂以脉冲引入;
·惰性气体占蚀刻化合物、添加剂和惰性气体的蒸气的总体积的大约0.01%v/v至大约99.9%v/v;
·惰性气体占蚀刻化合物、添加剂和惰性气体的蒸气的总体积的大约90%v/v至大约99%v/v;
·衬底是Si晶片;
·衬底是晶体硅层;
·形成图案化的结构;
·图案化的结构是3D NAND孔;
·图案化的结构是接触孔洞;
·图案化的结构是3D NAND接触孔洞;
·图案化的结构是DRAM接点;
·图案化的结构是通道孔洞;
·图案化的结构是3D NAND通道孔洞;
·图案化的结构是3D NAND狭缝接点;
·孔是阶梯式接点;
·孔是自对准接点;
·孔是自对准通孔;
·孔是超通孔;
·等离子体活化的碳氟化合物和活化的添加剂化合物与膜反应以形成挥发性副产物;
·将这些挥发性副产物从反应腔室中移除;
·在HAR图案化的结构的侧壁上形成高导电性侧壁钝化层;
·用活化的氢氟烃或碳氟化合物和活化的添加剂化合物形成的高导电性侧壁钝化层的电导率比用没有添加活化的添加剂化合物的活化的氢氟烃或碳氟化合物形成的高导电性侧壁钝化层的电导率高至少大约10%;
·氢氟烃或碳氟化合物包括CF4,CH3F,C2F6,C3F8,C2HF5,C5F8,C6F6,C4F6,C4F8,C1至C5饱和的或不饱和的直链的、支链的、环状的氢氟烃,如C4H2F6,CHF3,CH2F2,或其组合;
·氢氟烃或碳氟化合物是C4H2F6
·添加剂化合物含有硅、碳和/或碘元素,具有下式:
CnR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x)
SiRIyF(3-y)
SiIzF(4-z),或
CnF(2n+1)I
其中n=1至10;x=1-2;y=1-3;z=1-3;R、R1、R2和R3各自独立地选自H,C1-C10直链的、支链的或环状的、饱和的或不饱和的、芳族、杂环、部分或完全氟化的、取代或未取代的烃基;R1和R2、R2和R3、或R1和R3也可以连接以形成环状基团;
·添加剂化合物选自
·以上披露的添加剂CR1R2R3I包括
·以上披露的添加剂SiR1R2R3I包括
·以上披露的添加剂SiR1R2IxF(2-x)包括
·以上披露的添加剂SiRIyF(3-y)包括
·以上披露的添加剂SiIzF(4-z)包括
·披露的添加剂CnF(2n+1)I包括
·添加剂化合物选自
碘代甲烷CH3I(CAS号:74-88-4),
碘代苯C6H5I(CAS号:591-50-4),
2-碘代丙烷C3H7I(CAS号:75-30-9),
1-碘代丙烷C3H7I(CAS号:107-08-4),
1-碘代乙烷C2H5I(CAS号:75-03-6),
全氟碘代丁烷C4H9I(CAS号:423-39-2),
二氟碘代甲烷CHIF2(CAS号:1493-03-4),
二氟碘代(五氟乙基)-硅烷C2F7ISi(CAS号:36972-59-5),
1-(二氟碘代甲硅烷基)-2-甲基-苯C7H7F2ISi(CAS号:174711-76-3),
二氟碘代(三氟甲基)-硅烷CF5ISi(CAS号:27668-68-4),
三乙基碘代-硅烷C6H15ISi(CAS号:1112-49-8),
氟三碘代-硅烷FI3Si(CAS号:16865-60-4),
二氟二碘代-硅烷F2I2Si(CAS号:27669-15-4),
三氟碘代-硅烷F3ISi(CAS号:27668-68-4),
碘代三甲基-硅烷C3H9ISi(CAS号:16029-98-4),或
二碘代硅烷SiH2I2(CAS号:13760-02-6);
·添加剂化合物是碘代-甲烷CH3I(CAS号:74-88-4);
·添加剂化合物是碘代-苯C6H5I(CAS号:591-50-4);
·添加剂化合物是2-碘代丙烷C3H7I(CAS号:75-30-9);
·添加剂化合物是1-碘代丙烷C3H7I(CAS号:107-08-4);
·添加剂化合物是1-碘代乙烷C2H5I(CAS号:75-03-6);
·添加剂化合物是全氟碘代丁烷C4F9I(CAS号:423-39-2);
·添加剂化合物是二氟碘代甲烷CHIF2(CAS号:1493-03-4);
·添加剂化合物是二氟碘代(五氟乙基)-硅烷C2F7ISi(CAS号:36972-59-5);
·添加剂化合物是二氟碘代(五氟乙基)-硅烷C2F7ISi(CAS号:36972-59-5);
·添加剂化合物是1-(二氟碘代甲硅烷基)-2-甲基-苯C7H7F2ISi(CAS号:174711-76-3);
·添加剂化合物是二氟碘代(三氟甲基)-硅烷CF5ISi(CAS号:27668-68-4);
·添加剂化合物是三乙基碘代-硅烷C6H15ISi(CAS号:1112-49-8);
·添加剂化合物是氟三碘代-硅烷FI3Si(CAS号:16865-60-4);
·添加剂化合物是二氟二碘代-硅烷F2I2Si(CAS号:27669-15-4);
·添加剂化合物是三氟碘代-硅烷F3ISi(CAS号:27668-68-4);
·添加剂化合物是碘代三甲基-硅烷C3H9ISi(CAS号:16029-98-4);
·添加剂化合物是二碘代硅烷SiH2I2(CAS号:13760-02-6);
·膜是含硅膜,其含有O和/或N并且任选地含有掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge、以及其组合;
·膜是含有O的含硅膜;
·膜是含有N的含硅膜;
·膜是含硅膜,其任选地含有掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge、以及其组合;
·含硅膜包含以下项的层:氧化硅(SiO)、氮化硅(SiN)、晶体Si、多晶硅(p-Si)、多晶硅(polycrystalline silicon)、无定形硅、低-k SiCOH、SiOCN、SiC、SiON和SiaObHcCdNe,其中a>0;b、c、d和e≥0、交替的SiO和SiN(ONON)层、交替的SiO和p-Si(OPOP)层;
·含硅膜包含氧、氮、碳、氢、或其组合;
·含硅膜是SiOxNyHzCk,其中x在从0至2的范围内,y在从0至4的范围内,z在从0至约1的范围内并且k在从0至1的范围内;
·含硅膜包含SiO层;
·含硅膜是SiN层;
·含硅膜包含交替的SiO和SiN(ONON)层;
·含硅膜包含交替的SiO和p-Si(OPOP)层;
·含硅膜包含掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge;
·交替层包含以下项的层:氧化硅、氮化硅、多晶硅、晶体硅、SiOCH、SiON、SiaObCcNdHe(其中a>0;b、c、d和e≥0)或其组合;
·交替层包含氧原子、氮原子、碳原子、氢原子或其组合;
·交替层是含硅膜;
·交替层包含氧化硅层和氮化硅层;
·交替层包含氧化硅和氮化硅的交替层;
·交替层是氧化硅和氮化硅的交替层;
·交替层包含氧化硅层和多晶硅层;
·交替层包含氧化硅和多晶硅的交替层;
·交替层是氧化硅和多晶硅的交替层;
·交替层选择性地从硬掩膜层蚀刻;
·交替层选择性地从a-C层蚀刻;
·交替层选择性地从掺杂的碳层蚀刻;
·氧化硅和氮化硅的交替层选择性地从a-C层蚀刻;
·氧化硅和氮化硅的交替层选择性地从掺杂的碳层蚀刻;
·氧化硅和多晶硅的交替层选择性地从a-C层蚀刻;
·氧化硅和多晶硅的交替层选择性地从掺杂的碳层蚀刻;
·氧化硅层选择性地从硬掩膜层蚀刻;
·氧化硅层选择性地从a-C层蚀刻;
·氧化硅层选择性地从掺杂的碳层蚀刻;
·氮化硅层选择性地从硬掩膜层蚀刻;
·氮化硅层选择性地从a-C层蚀刻;
·氮化硅层选择性地从掺杂的碳层蚀刻;
·多晶硅层选择性地从硬掩膜层蚀刻;
·多晶硅层选择性地从a-C层蚀刻;
·多晶硅层选择性地从掺杂的碳层蚀刻;
·含硅膜选择性地从以下项蚀刻:无定形碳层、掺杂的无定形碳层、光致抗蚀剂层、减反射层或有机平坦化层;
·氧化硅层选择性地从以下项蚀刻:无定形碳层、掺杂的无定形碳层、光致抗蚀剂层、减反射层或有机平坦化层;
·蚀刻化合物以高蚀刻速率蚀刻氧化硅层和氮化硅层两者;
·图案化掩膜层是a-C层,掺杂的a-C层,光致抗蚀剂层,减反射层,有机平坦化层,多晶硅层,金属氧化物层如Ti、Al、Zr、Hf等的氧化物、及其组合;
·硬掩膜层设置在含硅层上;
·硬掩膜层是图案化的硬掩膜层;
·硬掩膜层是无定形碳层、掺杂的无定形碳层、光致抗蚀剂层、减反射层、有机平坦化层或其组合;
·硬掩膜层是以下项的层:CVD、PECVD、ALD、PEALD或旋涂沉积(SOD)无定形碳或掺杂的无定形碳、含硅旋涂掩膜、或含碳旋涂掩膜;
·硬掩膜层是无定形碳(a-C)层;
·硬掩膜层是掺杂的碳层;
·掺杂的无定形碳层是硼掺杂的a-C层;
·掺杂的无定形碳层是钨掺杂的a-C层;
·在膜中所形成的HAR图案化的结构具有在大约1:1与大约200:1之间的纵横比;
·在膜中所形成的HAR图案化的结构具有在大约1:1与大约20:1之间的纵横比;
·在膜中所形成的HAR图案化的结构具有在大约21:1与大约60:1之间的纵横比;
·在膜中所形成的HAR图案化的结构具有在大约21:1与大约200:1之间的纵横比;
·在膜中所形成的HAR图案化的结构具有在大约61:1与大约200:1之间的纵横比;
·进一步包括将附加蚀刻气体引入反应腔室,其中该附加蚀刻气体选自由以下组成的组:cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、和顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)及其组合;
·将蚀刻化合物和添加剂与附加蚀刻气体分开地引入;
·将大约0.01%v/v至大约99.99%v/v的附加蚀刻气体添加至蚀刻化合物和添加剂;
·通过施加RF功率活化等离子体;
·通过在从大约25W至大约100,000W的范围内的RF功率将等离子体活化;
·与没有添加剂的蚀刻相比,通过使用添加剂与氢氟烃或碳氟化合物,偏置功率低;
·通过使用添加剂与氢氟烃或碳氟化合物,偏置功率比没有使用添加剂的情况低至少大约10%;
·蚀刻压力在从大约1毫托至大约100托的范围内;
·蚀刻压力在从大约1毫托至大约50托的范围内;
·蚀刻压力在从大约1毫托至大约10托的范围内;
·蚀刻压力在从大约1毫托至大约50毫托的范围内;
·以从大约0.1sccm至大约1slm范围内的流速将蚀刻化合物和添加剂的蒸气引入;
·以从大约0.1sccm至大约1slm范围内的流速将蚀刻化合物的蒸气引入;
·以从大约0.1sccm至大约1slm范围内的流速将添加剂的蒸气引入;
·将衬底维持在从大约-100℃至大约500℃的范围内的温度下;
·将衬底维持在从大约20℃至大约150℃的范围内的温度下;
·将衬底维持在从大约20℃至大约110℃的范围内的温度下;以及
·通过四极质谱仪、光学发射光谱仪、FTIR、或其他自由基/离子测量工具在等离子体下来测量蚀刻化合物。
还披露了用于形成HAR图案化的结构的方法,该方法包括以下步骤:
使衬底顺序地或同时暴露于C4H2F6和CH3I的蒸气,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层;
活化等离子体以产生活化的C4H2F6和活化的CH3I;以及
允许在该图案化的掩膜层未覆盖的该膜与该活化的C4H2F6和该CH3I之间进行蚀刻反应以从该图案化的掩膜层选择性地蚀刻该膜,由此形成该HAR图案化的结构。所披露的方法可以包括以下方面中的一项或多项:
·进一步包括将氧化剂引入反应腔室的步骤,其中氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及其组合;
·进一步包括将惰性气体引入反应腔室的步骤,其中惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组;
·在HAR图案化的结构的侧壁上形成高导电性侧壁钝化层;
·用活化的C4H2F6和活化的CH3I形成的高导电性侧壁钝化层的电导率比用没有添加活化的CH3I的活化的C4H2F6形成的高导电性侧壁钝化层的电导率高至少大约10%;
·在膜中所形成的HAR图案化的结构具有在大约1:1与大约200:1之间的纵横比;
·进一步包括将附加蚀刻气体引入反应腔室,其中该附加蚀刻气体选自由以下组成的组:cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、和顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)及其组合;以及
·膜是含硅膜,其含有O和/或N并且任选地含有掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge、以及其组合。
符号和命名法
以下详细说明和权利要求书利用了本领域中通常众所周知的许多缩写、符号和术语,并且包括:
如本文所使用,不定冠词“一个/种(a或an)”意指一个/种或多个/种。
如本文所使用,在正文或权利要求书中的“约(about)”或“大约(around或approximately)”意指所述值的±10%。
如本文所使用,在正文或权利要求书中的“室温”意指从大约20℃至大约25℃。
术语“晶片”或“图案化的晶片”是指在衬底上具有任何存在的膜(包括含硅膜)的叠层并且在所形成的任何存在的膜(包括含硅膜)的叠层上具有图案化的硬掩膜层以用于图案蚀刻的晶片。
术语“衬底”是指在其上进行工艺的一种或多种材料。衬底可以是指具有在其上进行蚀刻工艺的一种或多种材料的晶片或图案化的晶片。衬底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何合适的晶片。衬底还可以具有从先前的制造步骤已经沉积在其上的一个或多个不同材料层。例如,晶片可以包括硅层(例如,晶体的、无定形的、多孔的等)、含硅层(例如,SiO2、SiN、SiON、SiCOH等)、含金属层(例如,铜、钴、钌、钨、铟、铂、钯、镍、钌、金等)或其组合。此外,衬底可以是平面的或图案化的。衬底可以是有机图案化的光致抗蚀剂膜。衬底可以包括在MEMS、3D NAND、MIM、DRAM或FeRam设备应用中用作介电材料的氧化物的层(例如ZrO2基材料、HfO2基材料、TiO2基材料、稀土氧化物基材料、三元氧化物基材料等)、用作电极的氮化物基膜(例如,TaN、TiN、NbN)、或用作未来代替CMOS系统中的硅的更强的竞争者的含金属或金属合金基膜(例如InGaAs、InxOy(x=0.5至1.5,y=0.5至1.5)、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、In2S3或In(OH)3等)。本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可为沟槽或线。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为衬底。
术语“图案蚀刻”或“图案化的蚀刻”是指蚀刻非平面结构,如在图案化的硬掩膜层下方的含硅膜的叠层。
如本文所用,术语“蚀刻(etch或etching)”意指使用蚀刻化合物和/或等离子体经由离子轰击、远程等离子体、或蚀刻气体与衬底之间的化学气相反应来移除材料,并且是指各向同性蚀刻工艺和/或各向异性蚀刻工艺。各向同性蚀刻工艺涉及蚀刻化合物与衬底之间的化学反应,从而导致衬底上的部分材料被除去。这种类型的蚀刻工艺包括化学干式蚀刻、气相化学蚀刻、热干式蚀刻等。各向同性蚀刻工艺在衬底中产生横向或水平蚀刻轮廓。各向同性蚀刻工艺在衬底中预先形成的孔的侧壁上产生凹槽或水平凹槽。各向异性蚀刻工艺涉及等离子体蚀刻工艺(即,干式蚀刻工艺),其中离子轰击使竖直方向上的化学反应加速,使得沿着掩蔽特征的边缘以与衬底成直角形成竖直侧壁(Manos和Flamm,Thermaletching an Introduction[热蚀刻导论],Academic Press,Inc.[学术出版社公司]1989第12-13页)。等离子体蚀刻工艺在衬底中产生竖直蚀刻轮廓。等离子体蚀刻工艺在衬底中产生竖直通孔、孔、沟槽、通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞、狭缝蚀刻、自对准接点、自对准通孔、超通孔等。
术语“掩膜”是指抵抗蚀刻的层。该掩膜层可位于待蚀刻的层的上方。该掩膜层还是指硬掩膜层。该掩膜层可以是无定形碳(a-C)层、掺杂的a-C层、光致抗蚀剂层、减反射层、有机平坦化层和其组合。该掩膜层还可以是硅层如多晶硅,金属氧化物如Ti、Al、Zr、Hf等的氧化物,以及其组合。
术语“纵横比”是指沟槽(或孔)的高度与沟槽的宽度(或孔的直径)的比率。
术语“蚀刻终止”是指在待蚀刻的层的下方保护下面的层的层。
术语“器件通道”是指是实际器件的一部分的层,并且对其的任何损害将影响器件性能。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(selective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
术语“通孔(via)”、“孔(aperture)”、“沟槽(trench)”、以及“孔洞(hole)”有时可互换使用,并且通常意指层间绝缘体中的开口。
术语“低偏置功率”或“减小的偏置功率”是指低于基准工艺的偏置功率。
本文使用的术语“添加剂”是指被添加入其他蚀刻化合物并提供一些改进的蚀刻性质如改进的轮廓性质,如弯曲、CD、椭圆率等的化合物或气体。
本文使用的术语“椭圆率”是指测量掩膜劣化的方法,其中在蚀刻应用中,为简单起见,通过(短的孔洞宽/长的孔洞宽)*100%来估计被蚀刻的孔洞的椭圆率;因此,完全的圆形的椭圆率定义为100%。
如本文所使用,缩写“NAND”是指“与非("Negated AND"或"Not AND")”门;缩写“2D”是指平面衬底上的2维栅极结构;缩写“3D”是指3维或竖直栅极结构,其中栅极结构在竖直方向上堆叠。
本文使用的术语“汞探针”是指做出快速非破坏性接触样品以进行电表征的电探测装置。如果汞样品接触是以欧姆测定的(非整流),那么电流-电压仪表可用于测量电阻、漏电流或电流-电压特性。可在大块样品或薄膜上测量电阻。薄膜可以由不与汞反应的任何材料构成。本文使用的汞探针的汞触点的直径是760um。
本文使用的术语“电导率”是电阻率的倒数并且代表材料传导电流的能力。本文使用的电导率的单位是西门子/厘米(S/cm)。其使用汞探针测量并使用的解法从在0.2MV/cm的电场下的电流-电压曲线计算,其中σ是电导率,I是汞探针测量的电流;T是聚合物的厚度;A是汞探针的接触面积。电场定义为施加的电压除以聚合物厚度。例如,在图10中,当电场是0.2MV/cm时,测量的电流是1.92×10-11Amp。C4F8聚合物的电导率计算为2.14×10-9S/cm。
本文使用的术语“高导电性侧壁钝化层”是指高于C4F8聚合物的电导率(其计算为2.14×10-9S/cm)的侧壁钝化层的电导率。
在本文中需注意,术语“膜”和“层”可以互换使用。应理解的是,膜可以对应于层或者与层相关,并且层可以是指膜。此外,本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可在从与整个晶片一样大至与沟槽或线一样小的范围内。
在本文中需注意,术语“蚀刻化合物”、“蚀刻剂”、“蚀刻气体”和“工艺气体”在蚀刻化合物在室温和环境压力下呈气态时可以互换使用。应理解的是,蚀刻化合物可以对应于蚀刻气体或蚀刻剂或工艺气体,或者与蚀刻气体或蚀刻剂或工艺气体相关,并且蚀刻气体或蚀刻剂或工艺气体可以是指蚀刻化合物。
本文中使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务社(Chemical Abstract Service)指定的唯一的CAS登记号(即“CAS”)以识别所披露的特定分子。
请注意,含硅膜,如SiN和SiO,贯穿本说明书和权利要求书列出,而不提及其适当的化学计量学。含硅膜可以包括纯硅(Si)层,诸如结晶Si、多晶硅(p-Si或多晶Si)、或非晶硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、I、m、以及n范围从0.1至6(包括端点)。优选地,氮化硅为SikNl,其中k和I各自范围从0.5至1.5。更优选地,氮化硅为Si3N4。在本文中,以下描述中的SiN可以用于表示含SikNl的层。优选地,氧化硅为SinOm,其中n范围从0.5至1.5并且m范围从1.5至3.5。更优选地,氧化硅为SiO2。在本文中,以下描述中的SiO可以用于表示含SinOm的层。该含硅膜还可能是基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低-k介电材料,诸如应用材料公司(Applied Materials,Inc.)的BlackDiamond II或III材料(具有式SiOCH)。含硅膜还可以包括SiaObNc,其中a、b、c范围从0.1至6。含硅膜还可以包括掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、及其组合。
当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中M是原子,x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。此外,应当理解,除非另外特别规定,否则R基团的值在用于不同式中时彼此独立。
在本文中范围可以表述为从约一个具体值和/或到约另一个具体值。当表述此种范围时,应理解的是另一个实施例是从一个具体值和/或到另一个具体值、连同在所述范围内的所有组合。
在本文中对“一个实施例”或“实施例”的提及意指关于该实施例描述的特定特征、结构或特性可以包括在本发明的至少一个实施例中。说明书中不同地方出现的短语“在一个实施例中”不一定全部是指同一个实施例,单独的或替代性的实施例也不一定与其他实施例互斥。上述情况也适用于术语“实施”。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在附图中相似元件给予相同或类似的附图标记,并且在附图中:
图1是在平面晶片上测量的具有和没有添加剂CH3I的C4H2F6的电导率;
图2是在平面晶片上测量的具有和没有添加剂C4F9I的C4H2F6的电导率;
图3是在平面晶片上测量的具有和没有添加剂SiH2I2的C4H2F6的电导率;
图4是分别在具有和没有CH3I添加剂的C4H2F6的ER和选择性的比较;
图5是具有和没有CH3I添加剂的C4H2F6的侧壁弯曲的比较;
图6是具有和没有CH3I添加剂的C4H2F6的椭圆率的比较;
图7是偏置功率为7000W时具有和没有CH3I添加剂的C4H2F6的临界尺寸(CD)的比较;
图8是偏置功率为5600W时具有和没有CH3I添加剂的C4H2F6的CD的比较;
图9是偏置功率为4200W时具有和没有CH3I添加剂的C4H2F6的CD的比较;以及
图10是各种聚合物化学组成和聚合物电导率。
具体实施方式
披露了用于在高纵横比(HAR)等离子体蚀刻工艺中,使用蚀刻气体作为添加剂通过用Si、C和/或碘元素掺杂在侧壁上形成高导电性侧壁钝化层的方法。披露的方法通过用Si、C和/或碘元素掺杂,在HAR等离子体蚀刻工艺中使用添加剂或添加剂化学品形成高导电性侧壁钝化层。该高导电性侧壁钝化层可以是聚合物钝化层。该聚合物钝化层的导电状态通过去除电荷并确保在低偏置功率水平或小至无偏置功率下临界尺寸(CD)变化的适当控制来减少沿侧壁的电荷积聚以防止HAR结构如孔洞的扭曲。通过应用添加剂,偏置功率可以比不使用添加剂的情况低至少大约10%,或者甚至不需要偏置功率。
披露的方法也可以被认为是低偏置能等离子体蚀刻,因为在最小化的侧壁电荷下,反应离子需要更少的等离子体偏置功率来到达HAR沟槽的底部。此外,披露的包括添加剂的蚀刻气体或处理气体不含有任何难以清洁的元素,这也使反应腔室的污染最小化并减少工具保养时间/停机时间。
披露的方法涉及使用氢氟烃蚀刻剂气体和添加剂气体在减小的偏置功率下等离子体蚀刻图案化的晶片或衬底持续预定的时间。该预定的时间可以是在从0s至1000s的范围内以在活化等离子体之前稳定腔室中的压力和气体流量。
披露的添加剂或添加剂化学品含有Si、C和/或碘元素,具有下式:
CR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x)
SiRIyF(3-y)
SiIzF(4-z),或
CnF(2n+1)I
其中x=1-2;y=1-3;z=1-4;R、R1、R2和R3各自独立地选自H,D(氘),C1-C10直链的、支链的或环状的、饱和或不饱和的、芳族、杂环、部分或完全氟化的、取代或未取代的烃基。R1和R2、R2和R3、或R1和R3也可以连接以形成环状基团。
以上披露的添加剂CR1R2R3I可以包括
以上披露的添加剂SiR1R2R3I可以包括
/>
以上披露的添加剂SiR1R2IxF(2-x)可以包括
以上披露的添加剂SiRIyF(3-y)可以包括
以上披露的添加剂SiIzF(4-z)可以包括
披露的添加剂CnF(2n+1)I包括
示例性的披露的含有Si、C和/或碘元素的添加剂列在表1中。这些分子是可商购的或可以通过本领域中已知的方法合成。它们的结构式、CAS号和沸点包括在该表中。披露的含有Si、C和/或碘元素的添加剂还可以包括它们的异构体。
表1.
/>
由于高挥发性是蚀刻气体优选的,高挥发性也是添加剂化学品需要的。如上所述,对于添加剂,小烃基用作硅上的取代基,这提供具有高挥发性的披露的添加剂。使用烃基取代基提供的另一个优点是由于产生差的碳离去基团而增加将碳掺入侧壁的钝化中的可能性。在新颖分子中也推荐在硅上使用芳族取代基,因为将芳族基团包括在侧壁的钝化中可以产生增加的电导率。芳族基团上的取代也是所关注的,因为芳环的电子学可改变电导率。然而,本领域的技术人员将理解,也可以使用低挥发性蚀刻材料。可通过各种方法使用低挥发性蚀刻材料,如加热低挥发性蚀刻材料的来源以增加挥发性,包括加热含有低挥发性蚀刻材料的容器或圆筒和连接到蚀刻工具的气体管线,使用鼓泡器法,其中惰性气体鼓泡通过液体低挥发性蚀刻材料等。
披露的添加剂适合设计在高纵横比孔洞/沟槽的侧壁上形成的钝化层特性。侧壁钝化和向下蚀刻同时发生。钝化层可以来自等离子体蚀刻气体中的碳源、来自蚀刻气体与被暴露的材料之间的反应、或来自蚀刻工艺的副产物的再沉积。蚀刻剂的添加剂通过引入导电性元素和/或化学键强烈影响侧壁钝化的化学组成,由此正影响侧壁钝化的电导率。在等离子体蚀刻过程中,可能结构的底部带正电荷而侧壁带负电荷,由此在结构内建立不希望的局部电场。只有能量大于沿该局部电场的电势差的高能离子能到达底部。当侧壁钝化的电导率增加时,侧壁上的电荷快速消散。需要的偏置功率低于基准工艺。
披露的蚀刻气体可以是碳氟化合物/氢氟烃。示例性的披露的碳氟化合物/氢氟烃包括CF4,CH3F,C2F6,C3F8,C2HF5,C5F8,C6F6,C4F6,C4F8,C1至C5饱和的或不饱和的直链的、支链的、环状的氢氟烃,如C4H2F6,CHF3,CH2F2,或其组合。
披露的碳氟化合物/氢氟烃适合蚀刻含硅膜,该含硅膜包括以下项的层,氧化硅(SiO)、氮化硅(SiN)、纯硅(Si)如晶体Si、多晶硅(p-Si或多晶Si)、无定形硅、低-k SiCOH、SiOCN、SiC、SiON、SiaObHcCdNe,其中a>0;b、c、d和e≥0;含金属膜(例如,铜、钴、钌、钨、铟、铂、钯、镍、钌、金等)等。含硅膜还可以包括交替的SiO和SiN(ONON)层或SiO和p-Si(OPOP)层。含硅膜含有O和/或N。含硅膜还可以包括掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge、及其组合。
所披露的碳氟化合物/氢氟烃和添加剂以大于95%v/v纯度、优选地以大于99.99%v/v纯度、并且更优选地以大于99.999%v/v纯度提供。所披露的碳氟化合物/氢氟烃和添加剂含有按体积计小于5%的痕量气体杂质,其中按体积计小于150ppm的杂质气体,如N2和/或H2O和/或CO2,包含在所述痕量气态杂质中。优选地,等离子体蚀刻气体中的水含量是按重量计小于20ppm。可通过蒸馏和/或使气体或液体通过适合的吸附剂(如分子筛)产生经纯化的产物。
所披露的碳氟化合物/氢氟烃和添加剂含有小于10%v/v、优选地小于1%v/v、更优选地小于0.1%v/v、并且甚至更优选地小于0.01%v/v的任何其异构体,其可以通过蒸馏气体或液体以移除异构体来纯化并且可以提供更好的工艺可重复性。
所披露的碳氟化合物/氢氟烃从掩埋着陆层或材料选择性地蚀刻含硅层,该掩埋着陆层或材料在大多数应用中是位于待蚀刻的结构的底部的金属层。所披露的碳氟化合物/氢氟烃不蚀刻金属着陆层。掩埋着陆层可以是蚀刻终止层或扩散阻挡层。金属着陆层的材料可以是在3D NAND结构中的钨金属加工线和/或另一种金属(诸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它们的组合)和/或蚀刻终止层诸如金属或金属氧化物或氮化物层(诸如AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它们的组合等)。
所披露的碳氟化合物/氢氟烃可用于等离子体蚀刻在衬底上的含硅膜。所披露的等离子体蚀刻方法可以用于制造半导体器件,诸如NAND或3D NAND栅极或者闪存或DRAM存储器或晶体管,诸如鳍形场效应晶体管(FinFET)、全环绕栅极(GAA)-FET、纳米线-FET、纳米片-FET、叉片-FET、互补FET(CFET)、块状互补金属氧化物半导体(块状CMOS)、MOSFET、完全耗尽型绝缘体上硅(FD-SOI)结构。所披露的含碘蚀刻化合物可用于其他应用领域,诸如不同的前道工序(FEOL)和后道工序(BEOL)蚀刻应用。此外,所披露的含碘蚀刻化合物还可以用于在3D硅通孔(TSV)蚀刻应用中蚀刻Si用于将存储器与在衬底上和MEMS应用中的逻辑电路互相连接。
所披露的蚀刻方法包括提供具有衬底设置在其中的反应腔室。反应腔室可以是其中进行蚀刻方法的设备内的任何附件或腔室,诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的CCP、电感耦合等离子体(ICP)、或微波等离子体反应器,或能够选择性地移除含硅膜的一部分或产生活性物质的其他类型的蚀刻系统。本领域普通技术人员将认识到,不同的等离子体反应腔室设计提供不同的电子温度控制。合适的可商购的等离子体反应腔室包括但不限于以商标eMAXTM出售的应用材料公司(Applied Materials)磁增强的反应性离子蚀刻器或以商标FlexTM出售的拉姆研究公司(Lam Research)双重CCP反应性离子蚀刻器介电蚀刻产品家族、或高级微制造设备中国公司(Advanced Micro-Fabrication Equipment Inc.China)(AMEC)Primo SSC HD-RIE蚀刻器。以这样的等离子体反应腔室中的RF功率可以被脉冲化以控制等离子体特性,并且从而进一步改进蚀刻性能(选择性和损害)。
反应腔室可含有一个或多于一个衬底。例如,反应腔室可以含有从1至200个具有从25.4mm至450mm的直径的硅晶片。衬底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何适合的衬底。合适的衬底的实例包括晶片,诸如硅、二氧化硅、玻璃、Ge、SiGe、GeSn、InGaAs、GaSb、InP、或GaAs晶片。从先前的制造步骤,晶片将具有在其上的多个膜或层,包括含硅膜或层。这些层可以是图案化的或可以不是图案化的。合适的层的实例包括但不限于硅(诸如无定形硅、p-Si、晶体硅、其中任一个可以进一步用B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge进行p-掺杂或n-掺杂)、二氧化硅、氮化硅、氧化硅、氧氮化硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;掩膜层材料诸如具有或不具有掺杂剂的无定形碳、减反射涂层、光致抗蚀剂材料、金属氧化物(诸如AlO、TiO、HfO、ZrO、SnO、TaO等)或金属氮化物层(诸如AlN、ZrN、SnN、HfN、氮化钛、氮化钽等)或它们的组合;蚀刻终止层材料(诸如氮化硅、多晶硅、晶体硅、碳化硅、SiON、SiCN或其组合)、器件通道材料(诸如晶体硅、外延硅、掺杂硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0))或其组合。a-C(无定形碳)是使用PE-CVD工艺沉积的碳膜。组成主要是含有某种氢含量的碳。掺杂的a-C是其中在沉积工艺期间附加沉积掺杂剂的无定形碳膜。掺杂剂可以包括:硼、锆、铝、钛、钨。与PE-CVD工艺相比,碳膜还可以使用旋涂工艺沉积。该氧化硅层可以形成介电材料,诸如基于有机物或基于氧化硅的低k介电材料(例如,多孔SiCOH膜)。示例性的低k介电材料以商品名Black DiamondII或III由应用材料公司出售。此外,可以使用包含钨或贵金属(例如铂、钯、铑或金)的层。此外,这些含硅膜的实例可以是SiaObHcCdNe(其中a>0;b、c、d、e≥0)。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为衬底。
所披露的蚀刻法包括在将衬底放入腔室之后并且在将披露的碳氟化合物/氢氟烃引入腔室之前将反应腔室抽至高真空。该高真空可以是在从0.01毫托-10毫托的范围内。
还将惰性气体引入反应腔室中以便维持等离子体。该惰性气体可以为He、Ar、Xe、Kr、Ne、N2、He或它们的组合。在引入腔室中之前,可以混合蚀刻气体和惰性气体,其中该惰性气体占所得混合物的在大约0.01%v/v与大约99.9%v/v之间。可替代地,可将该惰性气体连续地引入该腔室中,而以脉冲形式将该蚀刻气体引入该腔室中。
所披露的蚀刻气体的蒸气和惰性气体被等离子体活化以产生经活化的蚀刻气体。该等离子体将该蚀刻气体分解成自由基形式(即,经活化的蚀刻气体)。等离子体可通过施加RF或DC功率产生。等离子体可用在从约25W至约100,000W范围内的RF功率产生。等离子体可以远程地或在反应器本身内产生。等离子体可以以双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从100KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可进一步用于控制基板处的分子碎片和反应。本领域技术人员将认识到适用于此种等离子体处理的方法和设备。
四极质谱仪(QMS)、光学发射光谱仪、FTIR或其他自由基/离子测量工具可测量来自腔室排气的经活化的蚀刻气体以确定所产生的物种的类型和数目。必要时,可调节蚀刻气体和/或惰性气体的流速以增加或减少所产生的自由基物质的数量。
所披露的蚀刻气体和添加剂可在引入反应腔室中之前或在该反应腔室内与其他气体或共反应物混合。优选地,可以在引入腔室中之前将这些气体混合,以便提供均匀浓度的进入气体。
在另一个替代方案中,可以将氢氟烃蚀刻化合物和添加剂的蒸气独立于其他气体引入腔室中,诸如当两种或更多种气体反应或更容易独立地递送时。
在另一个替代方案中,该氢氟烃蚀刻气体和添加剂气体是在蚀刻工艺期间使用的仅有的两种气体。
在另一个替代方案中,氢氟烃蚀刻气体、添加剂气体和惰性气体是在蚀刻工艺期间使用的仅有的三种气体。
示例性的其他气体或共反应物包括但不限于氧化剂,诸如O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及其组合。所披露的蚀刻气体/添加剂和氧化剂可在引入反应腔室中之前混合在一起。
可替代地,可向该腔室中连续地引入氧化剂且向该腔室中以脉冲形式引入蚀刻气体。该氧化剂可占引入腔室中的混合物的在大约0.01%v/v至大约99.99%v/v之间(其中99.99%v/v表示针对连续引入替代方案的几乎纯氧化剂的引入)。
所披露的氢氟烃蚀刻气体和添加剂气体可以与其一起使用的其他示例性气体包括附加蚀刻气体,诸如cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、H2S、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、和顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)或其组合。例如,大约1%v/v至大约25%v/v的所披露的含碘蚀刻化合物可以与余量的C4F6或cC4F8一起使用。如以下实例中所示,所披露的含碘蚀刻化合物与常规蚀刻气体的组合可导致增加的蚀刻速率,同时保持衬底和与所披露的含碘蚀刻化合物相关联的待蚀刻层之间的较高选择性。
将所披露的蚀刻化合物和/或添加剂的蒸气引入含有衬底和含硅膜的反应腔室中。可以以在从大约0.1sccm至大约1slm范围内的流速分别将蒸气引入腔室中。例如,对于200mm晶片尺寸,可以以在从大约5sccm至大约50sccm范围内的流速将该蒸气引入该腔室中。可替代地,对于450mm晶片尺寸,可以以在从大约25sccm至大约250sccm范围内的流速将该蒸气引入该腔室中。本领域普通技术人员将认识到,流速可以随工具不同而不同。可以预混合地或单独地将所披露的蚀刻化合物和/或添加剂的蒸气引入反应腔室中。
所披露的蚀刻化合物和添加剂可以以纯净形式或以与合适的溶剂如乙苯、二甲苯、均三甲苯、癸烷或十二烷的共混物形式供应。所披露的化合物和添加剂可以是以不同的浓度存在于溶剂中。可通过经由常规气化步骤(诸如直接气化)使纯净的或共混的披露的化合物和添加剂溶液气化或通过鼓泡来产生蒸气形式的披露的化合物和添加剂。这些纯净的或共混的披露的化合物和添加剂可在将其引入反应器中之前以液态进料到气化器中,在该气化器中使其气化。可替代地,可通过将载气传送至含有所披露的化合物和添加剂的容器内或通过将载气鼓泡进所披露的化合物和添加剂内使这些纯净的或共混的披露的化合物和添加剂气化。载气可以包括但不限于Ar、He、N2、Xe、Kr、Ne及其混合物。该载气和所披露的化合物和添加剂然后作为蒸气被引入反应器中。
如果必要,含有所披露的化合物和添加剂的容器可以被加热到允许所披露的化合物和添加剂处于液相并具有足够的蒸气压的温度。可以将容器维持在例如大约0℃至大约150℃的范围内的温度下。还可以使从鼓泡器至蚀刻工具的管线维持在处于或高于容器的温度的温度。本领域技术人员认识到可以按已知方式调节该容器的温度以控制气化的所披露的化合物和添加剂的量。
在引入反应腔室中之前可以将所披露的氢氟烃蚀刻气体和添加剂气体的蒸气与附加的蚀刻气体混合。该附加的蚀刻气体可占引入腔室中的混合物的在大约0.01%v/v至大约99.99%v/v之间。
所披露的蚀刻气体的蒸气和附加气体如惰性气体和共反应物被等离子体活化以产生经活化的蚀刻气体。该等离子体将该蚀刻气体分解成自由基形式或离子(即,经活化的蚀刻气体)。等离子体可通过施加RF或DC功率产生。在去耦等离子体蚀刻反应器中,等离子体可用在从约25W至约100,000W范围内的RF源功率产生。等离子体可以远程地或在反应器本身内产生。等离子体可以以但不限于双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从100KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可作为偏置功率进一步用于控制衬底处的分子分裂和反应。本领域技术人员将认识到适用于此种等离子体处理的方法和设备。
由于所披露的添加剂与氢氟烃或碳氟化合物一起使用,偏置功率相比没有添加剂的蚀刻可以是低的。在所披露的方法中,通过应用添加剂,偏置功率可以比不使用添加剂的情况低至少大约10%,根据以下实例。
所披露的在高纵横比图案化的结构上形成高导电性侧壁钝化层的方法包括i)将蚀刻剂的蒸气引入含有衬底的反应器;ii)通过施加源功率在反应器中由蚀刻剂产生离子等离子体;iii)通过施加减小的偏置功率使离子向衬底扩散,使得没有被衬底上的图案化的掩膜层覆盖的衬底部分被选择性地蚀刻掉,形成高纵横比图案化的结构;以及iv)保持该工艺运行预定的时间并且关闭源功率和低偏置功率直到在高纵横比图案化的结构的侧壁上形成高导电性侧壁钝化层。
这里,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层。蚀刻剂包括氢氟烃或碳氟化合物和添加剂化合物。蚀刻剂还可以包括共反应物和/或惰性气体。在将蚀刻剂引入反应器后,允许蚀刻剂达到平衡。减小的偏置功率可以比蚀刻剂中没有添加添加剂的偏置功率低至少10%。预定的时间在从1s至1000s的范围内。选择氢氟烃或碳氟化合物与添加剂化合物的比率,使得侧壁表面新形成的部分变得钝化并且受到保护以免于进一步被蚀刻。被引入反应器中的氢氟烃或碳氟化合物与添加剂化合物的比率可通过流速从1:99变化至99:1。
在将蚀刻剂引入反应器之前,将反应器抽至在从0.001毫托至100毫托范围内的高真空,在蚀刻工艺之后,可用惰性气体吹扫反应器。
可通过控制基底固持器的温度或控制反应器壁的温度来控制反应器腔室的温度。用于加热衬底的装置在本领域中是已知的。反应器壁被加热至足够的温度以防止壁或反应器腔室上的凝结,尤其是当使用其中基底温度高于壁温的喷淋头反应器时。非限制性示例性温度范围(可将反应器壁加热到该温度范围)包括从大约-100℃(LN温度)至大约500℃、优选地从大约20℃至大约150℃、更优选从20℃至大约110℃的范围。
将反应腔室内的压力保持在适合蚀刻剂或工艺气体没有被图案化的掩膜层覆盖的衬底部分的条件下。这里,蚀刻剂或工艺气体可以包括氢氟烃或碳氟化合物蚀刻气体、添加剂、共反应物等。例如,反应器中的压力可以保持在大约1毫托与大约100托之间、优选地大约1毫托与大约50托之间、更优选地大约1毫托与大约10托之间、甚至更优选地大约1毫托与大约50毫托之间。
蚀刻条件可在蚀刻工艺期间变化。例如参数如气体流量、等离子体功率、压力、温度在蚀刻开始部分期间可以比靠近孔洞或沟槽的底部的蚀刻结束部分更高或更低。可替代地,在蚀刻中的不同点处可以添加不同的蚀刻气体以改进性能,如降低或提高聚合物沉积速率。
所披露的蚀刻方法在HAR结构(诸如具有在从1:1至200:1范围内的纵横比的那些,诸如DRAM和3D NAND结构)和接触蚀刻应用中提供对于掩膜层、光致抗蚀剂、蚀刻终止层和器件通道材料的高选择性并且没有轮廓变形。可替代地,纵横比在从1:1至20:1的范围内并且纵横比在从21:1至200:1的范围内。所披露的蚀刻方法适合蚀刻具有在大约1:1与大约200:1之间的纵横比的HAR图案化的结构。可替代地,所披露的蚀刻方法适合蚀刻具有在大约1:1与大约20:1之间的纵横比、在大约21:1与大约200:1之间的纵横比、在大约1:1与大约60:1之间的纵横比、或在大约61:1与大约200:1之间的纵横比的HAR图案化的结构。
实例
提供以下非限制性实例来进一步说明本发明的实施例。然后,这些实例不旨在都是包括所有实例,并且也不旨在限制本文所述发明的范围。
在以下实例中,用市售LAM工具4520XLe 200mm(CCP双重频率等离子体)或可替代地用市售AMEC 300mm Primo SSC HD-RIE蚀刻器进行实验。为了证明可重复性,各蚀刻测试已经重复至少三次。三次测量的平均值的标准偏差在图表中示出为误差条。随后,通过X射线光电子能谱法(XPS)研究了聚合物组成。
实例1:聚合物电导率测量
图1至图3分别是在平面晶片上测量的具有和没有添加剂CH3I、C4F9I或SiH2I2的C4H2F6的电导率。使用汞探针测量电流(I)-电压(V)。将一滴去离子水添加到该晶片的背面以改善接触电阻,这可以帮助在更低电压下降低测量噪音。在相同的电场强度下,添加到氢氟烃的碘分子添加剂引起通过聚合物的更高的测得电流和更低的击穿电压。具有添加剂的C4H2F6的电导率相比没有该添加剂的C4H2F6的电导率增加。参见图1至图3中的电流差。如表1中所示,在0.2MV/cm的电场下计算电导率,并且在0.2MV/cm的电场下的电导率的增加是>10%。
表1
电导率(S/cm)
C4H2F6 2.97×10-9
C4H2F6+CH3I 3.52×10-9
C4H2F6+C4F9I 8.35×10-9
C4H2F6+SiH2I2 1.14×10-7
实例2:使用CH3I作为添加剂的ONON孔洞图案蚀刻
由于在平面薄膜上有前途的性能(对a-C掩膜更高的选择性和增加的聚合物电导率),将CH3I加入包括C4H2F6的蚀刻配方用于在图案化的晶片或衬底上进行ONON(即,SiO/SiN交替层)孔洞图案蚀刻。该图案化的晶片具有ONON层,其中孔洞图案化的无定形碳(a-C)掩膜层被沉积在其上。该蚀刻配方还可以包括O2
测试了用于初步筛选的四种条件:C4H2F6/CH3I流速在30/10sccm、35/5sccm、40/5sccm和40/10sccm,其中30/10sccm和35/5sccm具有相同的总气体流量。由于CH3I聚合性非常强,对于CH3I流速是10sccm或更高的情况通过SEM图像观察到蚀刻终止。40/5sccm的条件显示改进的蚀刻选择性,然而需要进一步的蚀刻配方调整。SEM条件如下。加速电压:5.0kV;发射电流:20μA;放大倍数:×30.0k。
CH3I的聚合性非常强,以10sccm或更高的流速加入ONON蚀刻组合物可堵塞图案化的掩膜层并进一步导致蚀刻终止。伴随CH3I加入到蚀刻配方中,O2流速的工艺窗口从68sccm移至74-76sccm。对于优化的CH3I配方:ONON蚀刻速率(ER):510nm/min(没有CH3I,测得的ONON ER是516nm/min);ONON/a-C选择性:11.2-12(没有CH3I,ONON/a-C选择性是11.4-12)。图4至图9分别是具有和没有CH3I添加剂的C4H2F6的ER、选择性、侧壁弯曲、椭圆率、不同偏置功率下的临界尺寸(CD)的比较。总体地,具有CH3I的配方相比没有CH3I的配方显示对偏置功率的更少的依赖(ONON蚀刻速率、选择性、弯曲、椭圆率、轮廓CD)。
更具体地,如图6中所示,在7000W的偏置功率下,将CH3I加入配方不显示a-C掩膜孔洞的显著的椭圆率变化,对于没有CH3I的配方测得的椭圆率是93%并且对于具有CH3I的配方测得的椭圆率是94%。在5600W的偏置功率下,对于没有CH3I的配方,a-C掩膜轮廓伴随偏置功率减小而劣化,而对于具有CH3I的配方观察到较小影响。在4200W的偏置功率下,具有CH3I的配方显示改进的蚀刻性能,包括ER、选择性、a-C掩膜椭圆率和倾斜角。表2总结了蚀刻性能。
表2.蚀刻性能总结
总之,具有添加剂CH3I,HAR特征的蚀刻前沿和侧壁上的表面钝化(又称聚合物层)被改进并且该钝化随着变化的等离子体蚀刻条件(RF功率、工艺时间等)而改变。此外,CH3I作为添加剂加入氢氟烃蚀刻气体中使偏置功率减小40%而没有损害蚀刻性能如蚀刻速率、选择性、椭圆率、轮廓CD等。
实例3:聚合物化学组成和聚合物电导率
衬底:为了获得仅聚合物的I-V特性,使用低电阻率Si衬底(小于0.02Ohm.cm)代替SiO2衬底进行聚合物沉积。该Si衬底被切割成1英寸×1英寸试样以便使用汞探针进行I-V测量。
聚合物沉积:对于C4F8、C4H2F6、C4F9I、C4F8+C4F9I和C4H2F6+C4F9I,使用相同的沉积工艺条件;通过椭圆率计测量沉积的聚合物的厚度;对于在离子阻塞的区域上的聚合物,测量离屏蔽试样边缘1mm位置上的厚度。
Lam工具实验条件:RF源功率:750W;偏置功率:1500W;Ar/蚀刻气体(或气体混合物)/O2:250/15/0;蚀刻时间:30秒。
图10是各种聚合物化学组成和聚合物电导率。电流(I)-电压(V)测量与实例1中的那些相同。如所示的,在相同的电场强度下,加入氢氟烃的碘分子添加剂引起通过聚合物的更高的测得电流和更低的击穿电压,并且在0.2MV/cm下各种聚合物和碘分子添加剂的电导率是如下:C4F9I>C4H2F6+C4F9I>C4F8+C4F9I>C4H2F6>C4F8。具有更高的C-C:C-Fx/C-I比率的聚合物具有更高的击穿电压并且电力上更强。C-Fx键可对聚合物电导率有贡献。C-C键丰富的聚合物的导电性小于C-Fx键丰富的聚合物。
表3显示C-C:C-Fx/C-I(x是整数)键浓度比率。C-C:C-Fx/C-I键浓度比率从低到高是C4F9I<C4F8+C4F9I<C4F8<C4H2F6+C4F9I<C4H2F6。C-C键是非极性共价键;C-Fx/C-I键是极性共价键。在0.2MV/cm的电场下计算电导率,并且在0.2MV/cm的电场下的电导率的增加是>10%。
表3
虽然由于在变化的电场下不同的传导机制,说出聚合物化学组成和电导率是如何互相关联是有挑战性的,但是,总体上,向聚合物添加碘添加剂使其更导电,这进而使偏置功率相比于没有使用添加剂的情况减小至少大约10%,或甚至不需要偏置功率,而不损害蚀刻性能,如蚀刻速率、选择性、椭圆率、轮廓CD等,如实例2中所示。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明的原则和范围内做出本文已经描述且阐明以解释本发明的本质的细节、材料、步骤和零件布置上的许多附加的改变。因此,本发明不意图限于上面给出的实例和/或附图中的特定实施例。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。本文所述的实施例仅是示例性的而不是限制性的。组合物和方法的许多变化和修改是可能的,并且在本发明的范围内。因此,保护范围不限于本文描述的实施例,而仅受随后的权利要求所限定,其范围应包括权利要求的主题的所有等效物。

Claims (20)

1.一种用于在反应腔室中在高纵横比(HAR)蚀刻工艺期间在衬底中形成HAR结构的方法,该方法包括:
使该衬底顺序地或同时暴露于包括氢氟烃或碳氟化合物的蚀刻剂和添加剂化合物的蒸气,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层;
活化等离子体以产生活化的氢氟烃或碳氟化合物和活化的添加剂化合物;以及
允许在该图案化的掩膜层未覆盖的该膜与该活化的氢氟烃或碳氟化合物和该活化的添加剂化合物之间进行蚀刻反应以从该图案化的掩膜层选择性地蚀刻该膜,由此形成HAR图案化的结构。
2.如权利要求1所述的方法,其进一步包括将氧化剂引入该反应腔室的步骤,其中该氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及其组合。
3.如权利要求1所述的方法,其进一步包括将惰性气体引入该反应腔室的步骤,其中该惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组。
4.如权利要求1所述的方法,其中,在该HAR图案化的结构的侧壁上形成高导电性侧壁钝化层。
5.如权利要求1至4中任一项所述的方法,其中,用该活化的氢氟烃或碳氟化合物和该活化的添加剂化合物形成的高导电性侧壁钝化层的电导率比用没有添加该活化的添加剂化合物的该活化的氢氟烃或碳氟化合物形成的高导电性侧壁钝化层的电导率高至少大约10%。
6.如权利要求1所述的方法,其中,该氢氟烃或碳氟化合物包括CF4,CH3F,C2F6,C3F8,C2HF5,C5F8,C6F6,C4F6,C4F8,C1至C5饱和的或不饱和的直链的、支链的、环状的氢氟烃,如C4H2F6,CHF3,CH2F2,或其组合。
7.如权利要求1所述的方法,其中,该氢氟烃或碳氟化合物是C4H2F6
8.如权利要求1所述的方法,其中,该添加剂化合物含有硅、碳和/或碘元素,具有下式:
CnR1R2R3I,
SiR1R2R3I,
SiR1R2IxF(2-x)
SiRIyF(3-y)
SiIzF(4-z),或
CnF(2n+1)I
其中n=1至10;x=1-2;y=1-3;z=1-3;R、R1、R2和R3各自独立地选自H,C1-C10直链的、支链的或环状的、饱和的或不饱和的、芳族、杂环、部分或完全氟化的、取代或未取代的烃基;R1和R2、R2和R3、或R1和R3也可以连接以形成环状基团。
9.如权利要求1至4和6至8中任一项所述的方法,其中,该添加剂化合物选自
H3C-I
F-SiH2-II-SiH2-I
10.如权利要求1至4和6至8中任一项所述的方法,其中,该膜是含硅膜,其含有O和/或N并且任选地含有掺杂剂,如B、C、P、As Ga、In、Sn、Sb、Bi和/或Ge、以及其组合。
11.如权利要求1至4和6至8中任一项所述的方法,其中,该图案化的掩膜层是a-C层,掺杂的a-C层,光致抗蚀剂层,减反射层,有机平坦化层,多晶硅层,金属氧化物层如Ti、Al、Zr、Hf等的氧化物、及其组合。
12.如权利要求1至4和6至8中任一项所述的方法,其中,在该膜中所形成的该HAR图案化的结构具有在大约1:1与大约200:1之间的纵横比。
13.如权利要求1至4和6至8中任一项所述的方法,其进一步包括将附加蚀刻气体引入该反应腔室,其中该附加蚀刻气体选自由以下组成的组:cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、和顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)以及其组合。
14.一种用于形成HAR图案化的结构的方法,该方法包括以下步骤:
使衬底顺序地或同时暴露于C4H2F6和CH3I的蒸气,该衬底具有设置在其上的膜和设置在该膜上的图案化的掩膜层;
活化等离子体以产生活化的C4H2F6和活化的CH3I;以及
允许在该图案化的掩膜层未覆盖的该膜与该活化的C4H2F6和该CH3I之间进行蚀刻反应以从该图案化的掩膜层选择性地蚀刻该膜,由此形成该HAR图案化的结构。
15.如权利要求14所述的方法,其进一步包括将氧化剂引入该反应腔室的步骤,其中该氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及其组合。
16.如权利要求14所述的方法,其进一步包括将惰性气体引入该反应腔室的步骤,其中该惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组。
17.如权利要求14所述的方法,其中,在该HAR图案化的结构的侧壁上形成高导电性侧壁钝化层。
18.如权利要求14至17中任一项所述的方法,其中,用该活化的C4H2F6和该活化的CH3I形成的高导电性侧壁钝化层的电导率比用没有添加该活化的CH3I的该活化的C4H2F6形成的高导电性侧壁钝化层的电导率高至少大约10%。
19.如权利要求14至17中任一项所述的方法,其中,在该膜中所形成的该HAR图案化的结构具有在大约1:1与大约200:1之间的纵横比。
20.如权利要求14至17中任一项所述的方法,其进一步包括将附加蚀刻气体引入该反应腔室,其中该附加蚀刻气体选自由以下组成的组:cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、和顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)以及其组合。
CN202180093364.7A 2020-12-28 2021-12-16 高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的方法 Pending CN116848215A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/135,216 US20220223431A1 (en) 2020-12-28 2020-12-28 High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US17/135,216 2020-12-28
PCT/US2021/063683 WO2022146697A1 (en) 2020-12-28 2021-12-16 High conductive passivation layers and method of forming the same during high aspect ratio plasna etching

Publications (1)

Publication Number Publication Date
CN116848215A true CN116848215A (zh) 2023-10-03

Family

ID=82259627

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180093364.7A Pending CN116848215A (zh) 2020-12-28 2021-12-16 高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的方法

Country Status (7)

Country Link
US (1) US20220223431A1 (zh)
EP (1) EP4267692A1 (zh)
JP (1) JP2024500969A (zh)
KR (1) KR20230125268A (zh)
CN (1) CN116848215A (zh)
TW (2) TW202412105A (zh)
WO (1) WO2022146697A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
JP2022107943A (ja) * 2021-01-12 2022-07-25 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20240162042A1 (en) * 2022-10-26 2024-05-16 American Air Liquide, Inc. Etching methods with alternating non-plasma and plasma etching processes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US5840630A (en) * 1996-12-20 1998-11-24 Schlumberger Technologies Inc. FBI etching enhanced with 1,2 di-iodo-ethane
JP3961247B2 (ja) * 2001-08-17 2007-08-22 株式会社東芝 プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
WO2005043701A1 (en) * 2003-10-31 2005-05-12 Bookham Technology Plc Method for manufacturing gratings in semiconductor materials
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR20090017120A (ko) * 2007-08-14 2009-02-18 삼성전자주식회사 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
SG11201503321XA (en) * 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
CN112133676A (zh) * 2020-09-14 2020-12-25 江苏集创原子团簇科技研究院有限公司 一种在衬底上的高深宽比接触通孔的底部打开保护层的方法
JP2022065303A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
TW202236419A (zh) 2022-09-16
JP2024500969A (ja) 2024-01-10
TW202412105A (zh) 2024-03-16
EP4267692A1 (en) 2023-11-01
WO2022146697A1 (en) 2022-07-07
KR20230125268A (ko) 2023-08-29
TWI824361B (zh) 2023-12-01
US20220223431A1 (en) 2022-07-14

Similar Documents

Publication Publication Date Title
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
TWI824361B (zh) 高導電性鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
WO2023244214A1 (en) Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
TW202414576A (zh) 側壁鈍化層及在高縱橫比電漿蝕刻期間形成其之方法
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
WO2024145170A1 (en) Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination