KR102153246B1 - 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 - Google Patents

규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 Download PDF

Info

Publication number
KR102153246B1
KR102153246B1 KR1020197034193A KR20197034193A KR102153246B1 KR 102153246 B1 KR102153246 B1 KR 102153246B1 KR 1020197034193 A KR1020197034193 A KR 1020197034193A KR 20197034193 A KR20197034193 A KR 20197034193A KR 102153246 B1 KR102153246 B1 KR 102153246B1
Authority
KR
South Korea
Prior art keywords
layer
etching
etch
gas
flash memory
Prior art date
Application number
KR1020197034193A
Other languages
English (en)
Other versions
KR20190132564A (ko
Inventor
커티스 앤더슨
라훌 굽타
뱅상 엠 오마르지
네이슨 스태포드
크리스티앙 뒤사라
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20190132564A publication Critical patent/KR20190132564A/ko
Application granted granted Critical
Publication of KR102153246B1 publication Critical patent/KR102153246B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/26Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton
    • C07C17/263Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton by condensation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C23/00Compounds containing at least one halogen atom bound to a ring other than a six-membered aromatic ring
    • C07C23/02Monocyclic halogenated hydrocarbons
    • C07C23/06Monocyclic halogenated hydrocarbons with a four-membered ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/04Systems containing only non-condensed rings with a four-membered ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0645Block copolymer

Abstract

기판 상의 Si-함유층 내에 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 플라즈마 에칭하기 위한 에칭 가스, 및 이를 사용한 플라즈마 에칭 방법이 개시되어 있다. 에칭 가스는 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 또는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-)이다. 에칭 가스는 Si-함유층과 마스크 재료 사이의 선택성을 개선하고, 채널 영역에 손상을 덜 주며, 직선 수직 에치 프로파일을 제공하고, 패턴화된 고 종횡비 구조에서 휘어짐을 감소시킬 수 있다.

Description

규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 {METHOD AND ETCHING GAS FOR ETCHING SILICON-CONTAINING FILMS}
<관련출원 상호참조>
본 출원은 2012년 10월 30일에 출원된 미국 특허출원 제61/720,139호를 우선권 주장하며, 이의 전문이 본원에 원용된다.
기판 상의 Si-함유층 내에 높은 종횡비의 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 플라즈마 에칭하기 위한 에칭 가스가 개시되어 있다. 이를 사용한 플라즈마 에칭 방법이 또한 개시되어 있다.
DRAM 및 2D NAND와 같은 반도체 산업의 메모리 분야에서, 플라즈마 에칭은 반도체 기판으로부터 SiO 또는 SiN 층과 같은 규소-함유층을 제거한다. 3D NAND (US 2011/0180941, 황 (Hwang) 등에 허여)와 같은 신규 메모리 분야에 있어서는 복수 개의 SiO/SiN 또는 SiO/폴리-Si 층 스택의 고종횡비 에칭이 중요하다. 에칭제는 마스크와 에칭될 층 사이의 선택성이 높은 것이 바람직하다. 또한, 에칭제는 수직 프로파일이 휘어짐(bowing)이 없이 직선이 되도록 구조를 에칭하는 것이 바람직하다. 3D NAND 스택은 다른 규소-함유층들을 포함할 수 있다.
전통적으로, 플라즈마 에칭은 가스 공급원 (예를 들어, 수소-, 산소- 또는 불소-함유 가스)으로부터 활성 종을 생성하는 플라즈마 공급원을 사용하여 수행된다. 활성 종이 Si-함유층과 반응하여 플루오로탄소 차단 오버레이층과 휘발성 종을 형성한다. 휘발성 종은 진공 펌프에 의해 유지되는 반응기 내 감압에 의해 제거된다. 바람직하게는, 마스크 재료는 활성 종에 의해 에칭되지 않는다. 마스크 재료는 포토레지스트, 무정형 탄소, 폴리실리콘, 금속, 또는 에칭되지 않는 기타 경질 마스크 중 하나를 포함할 수 있다.
전통적인 에치 가스는 cC4F8 (옥타플루오로시클로부탄), C4F6 (헥사플루오로-1,3-부타디엔), CF4, CH2F2, CH3F 및/또는 CHF3를 포함한다. 이들 에치 가스는 또한 에칭 중에 중합체를 형성할 수 있다. 중합체는 패턴 에치 구조의 측벽에서 보호층으로 작용한다. 이러한 중합체 보호층은 이온이나 라디칼이 측벽을 에칭하여 비-수직적 구조, 휘어짐 및 치수의 변화를 유발하는 것을 방지한다. F:C 비율, SiO:SiN 선택성 및 중합체 침착 속도 사이의 상관 관계가 확립되어 있다. 예를 들어, 문헌 [Lieberman and Lichtenberg, Principles of Plasma Discharges and Materials Processing, Second Edition, Wiley-lnterscience, A John Wiley & Sons Publication, 2005, pp. 595-596;] 및 낮은 F/C 비율 값에서 질화물에 대한 블랭킷(blanket) 선택성의 증가를 보여주는 US 6387287 (Hung et al.)의 도면 5를 참조할 수 있다.
화학적 에칭과 같은 전통적인 드라이 에치 방법은 에칭 도중에 요구되는 고압 조건이 형성된 간극에 치명적 효과를 미칠 수 있어, 필요한 높은 종횡비 (>20:1)를 제공하지 않을 수 있다. C4F8 및 C4F6와 같은 전통적인 화합물 또한 요구되는 높은 종횡비를 제공하기에는 불충분할 수 있는데, 이는 에치 업자가 전통적인 화합물을 작용시키는데 사용되는 이용가능한 파라미터, 예컨대, RF 파워, RF 주파수, 펄싱 계획, 및 튜닝 계획을 신속하게 고갈시키기 때문이다. 전통적인 화학적 에칭제는 플라즈마 에칭 과정 중 높은 종횡비의 측벽 상에 충분한 중합체 침착을 더 이상 제공하지 않는다. 또한, 측벽 상의 CxFy 중합체 (여기서, x 및 y는 각각 독립적으로 1 내지 4임)는 에칭되기 쉽다. 결과적으로, 에칭된 패턴은 수직이 아닐 수 있으며, 구조는 휘어짐, 치수 변화 및/또는 패턴 붕괴 등을 나타낼 수 있다.
패턴을 에칭함에 있어서 하나의 중요한 문제점은 휘어짐이다. 휘어짐은 종종 무정형 탄소 재료인 마스크 층의 측벽 에칭에 기인한다. 무정형 탄소 재료는 플라즈마 중의 산소 라디칼에 의해 에칭되어 마스크의 간극을 증가시킴으로써 결과적으로 활 모양의 에치 구조를 유발할 수 있다.
트랩 (Trapp)의 US 6569774는 산화규소층을 통하여 높은 종횡비의 콘택트 간극을 형성하는 플라즈마 에치 방법을 개시하고 있다. 발명자는 NH3와 같은 질소-함유 가스를 플루오로탄소 (CxFy) 및 플루오로탄화수소 (CxFyHz) 에치 화합물에 포함시켜 레지스트 선택성을 개선하고, 스트리에이션(striations)을 감소시키는 방법을 개시하고 있다. 35종의 플루오로탄소 및 플루오로탄화수소 화합물의 목록이 개시되어 있으나, 화학식, CAS 번호 또는 이성질체 정보는 제공되어 있지 않다.
솔베이 플루오르 게엠베하 (Solvay Fluor GmbH)의 WO 2010/100254는 반도체 에칭 또는 챔버 세정을 위한 에칭 가스로 사용하는 것을 포함하여, 각종 공정에서 특정 하이드로플루오로알켄의 용도를 개시하고 있다. 하이드로플루오로알켄은 하기 화합물 군 a) 및 b) 각각으로부터 선택되는 1종 이상의 화합물의 혼합물을 포함할 수 있다:
a) (Z)-1,1,1,3-테트라플루오로부트-2-엔, (E)-1,1,1,3-테트라플루오로부트-2-엔 또는 2,4,4,4-테트라플루오로부트-1-엔, 및
b) 1,1,1,4,4,4-헥사플루오로부트-2-엔, 1,1,2,3,4,4-헥사플루오로부트-2-엔, 1,1,1,3,4,4-헥사플루오로부트-2-엔 및 1,1,1,2,4,4-헥사플루오로부트-2-엔.
현재 최고 기술 수준의 수직 3D NAND 구조물은 재료가 교대하는 스택을 통한 매우 높은 종횡비를 요한다.
높은 종횡비의 간극을 형성하기 위하여 플라즈마 공정에서 사용하기 위한 신규 에치 가스 조성물에 대한 요구가 계속되고 있다.
<표기 및 명명법>
특정 약어, 기호 및 용어가 하기 기재 및 특허청구범위 전체에 사용되며, 다음과 같은 것들을 포함한다:
본 명세서에서, "에치" 또는 "에칭"이란 이온 폭발이 수직 방향에서의 화학적 반응을 가속시켜 마스킹된 부분의 가장자리를 따라 기판에 대하여 직각으로 수직 측벽이 형성되도록 하는 플라즈마 에치 공정 (즉, 드라이 에치 공정)을 이른다 [Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13]. 에칭 공정은 기판에 간극, 예컨대, 비아, 트렌치, 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 생성한다.
"패턴 에치" 또는 "패턴화(된) 에치"는, 예를 들어, 규소-함유층 스택 상의 패턴화된 마스크 층과 같은 비-평면 구조를 에칭하는 것을 이른다. "마스크"란 에칭이 되지 않는 층을 이른다. 마스크 층은 에칭될 층보다 높거나 낮게 배치될 수 있다.
"선택성"이란 다른 재료의 에칭 속도에 대한 재료의 에칭 속도 비율을 의미한다. "선택적 에치" 또는 "선택적으로 에칭한다"란 어떤 재료를 다른 재료보다 더 많이 에칭하는 것, 달리 말해서, 두 가지 재료 사이에 에치 선택성이 1:1 보다 크거나 작은 것을 의미한다.
본 명세서에서, 수사를 붙이지 않은 명사는 그러한 명사 하나 이상을 의미한다.
본 명세서에는 원소 주기율표의 표준 원소 약어가 사용되었다. 원소는 이러한 약어에 따른 것임이 이해되어야 한다 (예를 들어, S는 황을, Si는 규소를, H는 수소를 나타낸다).
본 명세서에서, 약어 "NAND"는 "니게이티드 (Negated) AND" 또는 "낫 (Not) AND" 게이트를 이르고; 약어 "2D"는 평면 기판 상의 2 디멘젼 게이트 구조를 이르며; 약어 "3D"는 게이트 구조가 수직 방향으로 스택킹되어 있는 3 디멘젼 또는 수직 게이트 구조를 이르고; 약어 "DRAM"은 다이나믹 랜덤-어세스 메모리를 이른다.
SiN 및 SiO와 같은 Si-함유 필름은 본 명세서 및 청구범위에 걸쳐 구체적 화학식이 없이 열거되어 있다. 규소-함유층은 결정성 Si, 폴리실리콘 (폴리Si 또는 다결정성 Si) 또는 무정형 규소와 같은 순수 규소(Si) 층; 질화규소 (SikNl) 층; 또는 산화규소 (SinOm) 층 (여기서, k, l, m 및 n은 1 내지 6 (상하한 포함)임); 또는 그들의 혼합물을 포함할 수 있다. 바람직하게는, 질화규소는 SikNl (여기서, k 및 l은 각각 0.5 내지 1.5임) 이다. 보다 바람직하게는, 질화규소는 Si1N1이다. 바람직하게는, 산화규소는 SinOm (여기서, n은 0.5 내지 1.5이고, m은 1.5 내지 3.5임)이다. 보다 바람직하게는 산화규소는 SiO2 또는 SiO3이다. 규소-함유층은 또한 유기계 또는 산화규소계 저-k 유전체 재료와 같은, 산화규소계 유전체 재료일 수 있으며, 예컨대, 어플라이드 머티리얼즈, 인크. (Applied Materials, Inc.)에서 제조된 블랙 다이아몬드 (Black Diamond) II 또는 III이다. 규소-함유층은 또한 B, C, P, As 및/또는 Ge과 같은 도판트를 함유할 수 있다.
<요약>
규소-함유 필름을 에칭하는 방법이 개시되어 있다. 에칭 가스를 기판 상의 규소-함유 필름이 배치되어 있는 플라즈마 반응 챔버 내로 주입한다. 에칭 가스는 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 또는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-)이다. 불활성 가스를 플라즈마 반응 챔버 내로 주입한다. 플라즈마를 활성화시켜 기판으로부터 규소-함유 필름을 선택적으로 에칭할 수 있는 활성화된 에칭 가스를 생성한다. 개시된 방법은 다음과 같은 측면 중 하나 이상을 포함할 수 있다:
Figure 112019119069549-pat00001
에칭 가스는 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐이다;
Figure 112019119069549-pat00002
에칭 가스는 시스-1,1,1,4,4,4-헥사플루오로-2-부텐이다;
Figure 112019119069549-pat00003
에칭 가스는 헥사플루오로이소부텐이다;
Figure 112019119069549-pat00004
에칭 가스는 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-)이다;
Figure 112019119069549-pat00005
에칭 가스는 펜타플루오로시클로부탄 (1,1,2,2,3-)이다;
Figure 112019119069549-pat00006
에칭 가스는 테트라플루오로시클로부탄 (1,1,2,2-)이다;
Figure 112019119069549-pat00007
에칭 가스는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-)이다;
Figure 112019119069549-pat00008
활성화된 에칭 가스는 규소-함유 필름과 선택적으로 반응하여 휘발성 부산물을 형성한다;
Figure 112019119069549-pat00009
휘발성 부산물을 플라즈마 반응 챔버로부터 제거한다;
Figure 112019119069549-pat00010
불활성 가스는 He, Ar, Xe, Kr 및 Ne로 이루어진 군으로부터 선택된다;
Figure 112019119069549-pat00011
불활성 가스는 Ar이다;
Figure 112019119069549-pat00012
플라즈마 반응 챔버로 주입하기 전에 에칭 가스와 불활성 가스를 혼합하여 혼합물을 생성한다;
Figure 112019119069549-pat00013
에칭 가스를 플라즈마 반응 챔버 내로 불활성 가스와 분리하여 주입한다;
Figure 112019119069549-pat00014
불활성 가스를 플라즈마 반응 챔버 내로 연속적으로 주입하며, 에칭 가스를 플라즈마 반응 챔버 내로 펄스 방식으로 주입한다;
Figure 112019119069549-pat00015
불활성 가스는 플라즈마 반응 챔버 내로 주입되는 에칭 가스와 불활성 가스의 총 부피의 약 50% v/v 내지 약 95% v/v를 구성한다;
Figure 112019119069549-pat00016
산화제를 플라즈마 반응 챔버 내로 주입한다;
Figure 112019119069549-pat00017
산화제를 플라즈마 반응 챔버 내로 주입하지 않는다;
Figure 112019119069549-pat00018
산화제는 O2, CO, CO2, NO, N2O 및 NO2로 이루어진 군으로부터 선택된다;
Figure 112019119069549-pat00019
산화제는 O2이다;
Figure 112019119069549-pat00020
플라즈마 반응 챔버 내로 주입하기 전에 에칭 가스와 산화제를 혼합한다;
Figure 112019119069549-pat00021
에칭 가스를 플라즈마 반응 챔버 내로 산화제와 분리하여 주입한다;
Figure 112019119069549-pat00022
산화제를 플라즈마 반응 챔버 내로 연속적으로 주입하며, 에칭 가스를 플라즈마 반응 챔버 내로 펄스 방식으로 주입한다;
Figure 112019119069549-pat00023
산화제는 플라즈마 반응 챔버 내로 주입되는 에칭 가스와 산화제의 총 부피의 약 5% v/v 내지 약 100% v/v를 구성한다;
Figure 112019119069549-pat00024
규소-함유 필름은 산화규소, 질화규소, 폴리실리콘 또는 그들의 조합의 층을 포함한다;
Figure 112019119069549-pat00025
규소-함유 필름은 산소 원자, 질소 원자, 탄소 원자 또는 그들의 조합을 포함한다;
Figure 112019119069549-pat00026
규소-함유 필름은 탄화규소를 포함하지 않는다;
Figure 112019119069549-pat00027
규소-함유 필름은 무정형 탄소층으로부터 선택적으로 에칭된다;
Figure 112019119069549-pat00028
규소-함유 필름은 포토레지스트 층으로부터 선택적으로 에칭된다;
Figure 112019119069549-pat00029
규소-함유 필름은 폴리실리콘 층으로부터 선택적으로 에칭된다;
Figure 112019119069549-pat00030
규소-함유 필름은 금속 콘택트 층으로부터 선택적으로 에칭된다;
Figure 112019119069549-pat00031
규소-함유 필름은 산화규소 층이다;
Figure 112019119069549-pat00032
산화규소 층은 다공성 SiCOH 필름이다;
Figure 112019119069549-pat00033
산화규소 층을 무정형 탄소층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00034
산화규소 층을 포토레지스트 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00035
산화규소 층을 폴리실리콘 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00036
산화규소 층을 금속 콘택트 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00037
산화규소 층을 SiN 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00038
규소-함유 필름은 질화규소 층이다;
Figure 112019119069549-pat00039
질화규소 층을 무정형 탄소층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00040
질화규소 층을 패턴화된 포토레지스트 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00041
질화규소 층을 폴리실리콘 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00042
질화규소 층을 금속 콘택트 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00043
질화규소 층을 SiO 층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00044
산화규소 층 및 질화규소 층 모두를 규소층으로부터 선택적으로 에칭한다;
Figure 112019119069549-pat00045
규소-함유 필름 내에 종횡비가 약 10:1 내지 약 100:1인 간극을 생성한다;
Figure 112019119069549-pat00046
게이트 트렌치를 생성한다;
Figure 112019119069549-pat00047
스테어케이스 콘택트를 생성한다;
Figure 112019119069549-pat00048
채널 홀을 생성한다;
Figure 112019119069549-pat00049
종횡비가 약 60:1 내지 약 100:1인 채널 홀을 생성한다;
Figure 112019119069549-pat00050
직경이 약 40 nm 내지 약 50 nm인 채널 홀을 생성한다;
Figure 112019119069549-pat00051
플라즈마 반응 챔버 내로 제2 가스를 주입하여 선택성을 개선한다;
Figure 112019119069549-pat00052
제2 가스는 cC4F8, C4F6, CF4, CHF3, CFH3, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I 및 SO2로 이루어진 군으로부터 선택된다;
Figure 112019119069549-pat00053
제2 가스는 cC5F8이다;
Figure 112019119069549-pat00054
제2 가스는 cC4F8이다;
Figure 112019119069549-pat00055
제2 가스는 C4F6이다;
Figure 112019119069549-pat00056
플라즈마 반응 챔버 내로 주입하기 전에 에칭 가스와 제2 가스를 혼합한다;
Figure 112019119069549-pat00057
에칭 가스를 플라즈마 반응 챔버 내로 제2 가스와 분리하여 주입한다;
Figure 112019119069549-pat00058
제2 가스를 약 1 % v/v 내지 약 99.9% v/v로 챔버 내로 주입한다;
Figure 112019119069549-pat00059
플라즈마를 약 25 W 내지 약 10,000 W의 RF 파워로 활성화시킨다;
Figure 112019119069549-pat00060
플라즈마 반응 챔버의 압력은 약 1 mTorr 내지 약 10 Torr이다;
Figure 112019119069549-pat00061
에칭 가스를 플라즈마 반응 챔버에 약 5 sccm 내지 약 1 slm의 유속으로 주입한다;
Figure 112019119069549-pat00062
기판을 약 -196 ℃ 내지 약 500 ℃로 유지한다;
Figure 112019119069549-pat00063
기판을 약 -120 ℃ 내지 약 300 ℃로 유지한다;
Figure 112019119069549-pat00064
기판을 약 -10 ℃ 내지 약 40 ℃로 유지한다;
Figure 112019119069549-pat00065
활성화된 에칭 가스를 4중극자 질량분석기, 발광 분석기, FTIR 또는 기타 라디칼/이온 측정기로 측정한다;
Figure 112019119069549-pat00066
RF 파워를 인가하여 플라즈마를 생성한다.
또한, 플라즈마 에칭 화합물로서 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 또는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-)으로부터 선택되는 화합물이 개시되어 있다. 플라즈마 에칭 화합물의 순도는 99.9 부피% 이상으로, 0.1 부피% 미만의 미량 가스 불순물을 포함한다. 상기 미량 가스 불순물 중에 함유된 질소-함유 및 산소-함유 가스의 총 함량은 150 부피ppm 미만이다. 개시된 플라즈마 에칭 화합물은 다음과 같은 측면 중 하나 이상을 포함할 수 있다.
Figure 112019119069549-pat00067
에칭 화합물은 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐이다;
Figure 112019119069549-pat00068
에칭 화합물은 시스-1,1,1,4,4,4-헥사플루오로-2-부텐이다;
Figure 112019119069549-pat00069
에칭 화합물은 헥사플루오로이소부텐이다;
Figure 112019119069549-pat00070
에칭 화합물은 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-)이다;
Figure 112019119069549-pat00071
에칭 화합물은 펜타플루오로시클로부탄 (1,1,2,2,3-)이다;
Figure 112019119069549-pat00072
에칭 화합물은 테트라플루오로시클로부탄 (1,1,2,2-)이다;
Figure 112019119069549-pat00073
에칭 화합물은 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-)이다;
Figure 112019119069549-pat00074
산소-함유 가스는 물이다;
Figure 112019119069549-pat00075
산소-함유 가스는 CO2이다;
Figure 112019119069549-pat00076
질소-함유 가스는 N2이다;
Figure 112019119069549-pat00077
플라즈마 에칭 화합물의 수분 함량은 20 중량ppm 미만이다.
규소-함유층 내에 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 플라즈마 에칭하기 위한 에칭 가스가 개시되어 있다. 개시된 에칭 가스는 마스크 층에 대한 보다 높은 선택성을 제공하며, 높은 종횡비 구조에서 프로파일 왜곡을 나타내지 않을 수 있다.
본 발명의 본질 및 목적을 보다 잘 이해하기 위하여, 첨부된 도면과 함께 하기 기재를 참조할 수 있으며, 도면에서 유사한 요소는 동일하거나 유사한 부호로 표시되어 있다.
도 1은 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐의 구조식이다;
도 2는 시스-1,1,1,4,4,4-헥사플루오로-2-부텐의 구조식이다;
도 3은 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄의 구조식이다;
도 4는 시스-1,1,2,2,3,4-헥사플루오로시클로부탄의 구조식이다;
도 5는 헥사플루오로이소부텐의 구조식이다;
도 6은 1,1,1,2,4,4,4-헵타플루오로-2-부텐의 구조식이다;
도 7은 1,1,2,2,3-펜타플루오로시클로부탄의 구조식이다;
도 8은 1,1,2,2-테트라플루오로시클로부탄의 구조식이다;
도 9는 3D NAND 스택 내의 층들의 예를 도시한 것이다;
도 10은 DRAM 스택 내의 층들의 예를 도시한 것이다;
도 11은 에너지 (eV)에 대하여 C4F6H2에 의해 생성되는 종 분획의 부피를 플롯팅한 질량 스펙트럼 (MS) 그래프이다;
도 12는 에너지에 대하여 C4F8에 의해 생성되는 종 분획의 부피를 플롯팅한 MS 그래프이다;
도 13은 에너지에 대하여 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐에 의해 생성되는 종 분획의 부피를 플롯팅한 MS 그래프이다;
도 14는 에너지에 대하여 헥사플루오로이소부텐에 의해 생성되는 종 분획의 부피를 플롯팅한 MS 그래프이다;
도 15는 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄에 있어서 산소 유속 (sccm)에 대한 SiO2 에치 속도를 나타낸 그래프이다;
도 16은 cC4F5H3에 있어서 산소 유속에 대한 SiO2 에치 속도를 나타낸 그래프이다;
도 17은 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄에 있어서 산소 유속에 대한 선택성을 나타낸 그래프이다;
도 18은 cC4F5H3에 있어서 산소 유속에 대한 선택성을 나타낸 그래프이다;
도 19는 15 sccm의 cC4F8를 사용하고 산소를 사용하지 않고 10분 동안 에칭한 결과의 주사 전자 현미경 사진 (SEM)이다;
도 20은 15 sccm의 cC4F6H2와 12 sccm의 산소를 사용하여 10분 동안 에칭한 결과의 SEM이다;
도 21은 15 sccm의 cC F5H3와 22 sccm 산소를 사용하여 10분 동안 에칭한 결과의 SEM이다;
도 22는 C4F8 분자로의 H 치환, 이중 결합 및 산소 부가를 나타내는 흐름도이다.
규소-함유층 내에 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 플라즈마 에칭하기 위한 에칭 가스가 개시되어 있다. 개시된 에칭 가스는 마스크 층에 대한 보다 높은 선택성을 제공하며, 높은 종횡비 구조에서 프로파일 왜곡을 나타내지 않을 수 있다.
플라즈마 에칭 가스는 Si-함유층과 마스크 재료 사이의 선택성을 개선하고, 채널 영역에 손상을 덜 주며, 패턴화된 높은 종횡비 구조에서 휘어짐을 감소시킬 수 있다. 플라즈마 에칭 가스는 또한 교대하는 폴리Si, SiO 및/또는 SiN 층들을 관통하여 에칭하여 수직 에치 프로파일을 생성할 수 있다.
다음 화합물들이 개시된 플라즈마 에칭 가스를 구성한다: 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4-); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 또는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4-). 이들 화합물들은 상업적으로 입수가능하다.
개시된 플라즈마 에칭 가스는 99.9% v/v를 초과하는 순도, 바람직하게는 99.99% v/v를 초과하는 순도, 보다 바람직하게는 99.999% v/v를 초과하는 순도로 제공된다. 개시된 에칭 가스는 0.1 부피% 미만의 미량 가스 불순물을 함유하며, 미량 가스 불순물은 150 부피ppm 미만의 질소-함유 및 산소-함유 가스, 예컨대, N2 및/또는 H2O 및/또는 CO2를 함유한다. 바람직하게는, 플라즈마 에칭 가스 중의 수분 함량은 20 중량ppm 미만이다. 정제된 생성물은 증류에 의하고/거나 가스 또는 액체를 4A 분자체와 같은 적절한 흡수제로 통과시켜 수득될 수 있다.
하나의 실시양태에서, 개시된 플라즈마 에칭 가스는 그의 이성질체 중 어느 것이나 5% v/v 미만, 바람직하게는 1 % v/v 미만, 보다 바람직하게는 0.1 % v/v 미만, 더욱 더 바람직하게는 0.01 % v/v 미만으로 함유한다. 이러한 실시양태는 더 양호한 공정 반복성을 제공할 수 있다. 이 실시양태는 가스나 액체를 증류시켜 생성될 수 있다. 또 다른 실시양태에서, 특히 이성질체 혼합물이 개선된 공정 파라미터를 제공하거나, 표적 이성질체의 단리가 너무 어렵거나 비용이 많이 드는 경우, 개시된 플라즈마 에칭 가스는 이성질체 중 1종 이상을 5% v/v 내지 50% v/v로 함유할 수 있다. 예를 들어, 이성질체의 혼합물은 플라즈마 반응기 내로 들어가는 두 개 이상의 가스 라인의 필요성을 절감할 수 있다.
도 1은 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐의 구조식이다. 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐의 CAS 번호는 66711-86-2이다. 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐의 비점은 8.5 ℃이다.
도 2는 시스-1,1,1,4,4,4-헥사플루오로-2-부텐의 구조식이다. 시스-1,1,1,4,4,4-헥사플루오로-2-부텐의 CAS 번호는 692-49-9이다. 시스-1,1,1,4,4,4-헥사플루오로-2-부텐의 비점은 33 ℃이다.
도 3은 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄의 구조식이다. 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄의 CAS 번호는 23012-94-4이다. 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄의 비점은 27 ℃이다.
도 4는 시스-1,1,2,2,3,4-헥사플루오로시클로부탄의 구조식이다. 시스-1,1,2,2,3,4-헥사플루오로시클로부탄의 CAS 번호는 22819-47-2이다. 시스-1,1,2,2,3,4-헥사플루오로시클로부탄의 비점은 63 ℃이다.
도 5는 헥사플루오로이소부텐의 구조식이다. 헥사플루오로이소부텐의 CAS 번호는 382-10-5이다. 헥사플루오로이소부텐의 비점은 14.5 ℃이다.
도 6은 1,1,1,2,4,4,4-헵타플루오로-2-부텐의 구조식이다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐의 CAS 번호는 760-42-9이다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐의 비점은 8 ℃이다.
도 7은 1,1,2,2,3-펜타플루오로시클로부탄의 구조식이다. 1,1,2,2,3-펜타플루오로시클로부탄의 CAS 번호는 2253-02-3이다. 1,1,2,2,3-펜타플루오로시클로부탄의 비점은 53 ℃이다.
도 8은 1,1,2,2-테트라플루오로시클로부탄의 구조식이다. 1,1,2,2-테트라플루오로시클로부탄의 CAS 번호는 374-12-9이다. 1,1,2,2-테트라플루오로시클로부탄의 비점은 50 ℃이다.
이들 화합물 중 일부는 실온 및 대기압에서 가스상이다. 비-가스상 (즉, 액상) 화합물에 있어서, 가스 형태는 화합물을 직접 기화시키거나 버블링(bubbling)과 같은 통상의 기화 단계를 통해 기화시켜 생성될 수 있다. 화합물은 기화기에 액체 상태로 공급되어, 반응기로 들어가기 전에 기화된다. 또한, 화합물은 그를 함유하는 용기 내로 캐리어 가스를 통과시키거나, 캐리어 가스를 화합물 내로 버블링시켜 기화될 수 있다. 캐리어 가스는 Ar, He, N2 및 그들의 혼합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 캐리어 가스로 버블링하는 것은 또한 에칭 가스 중에 용해되어 존재하는 산소를 제거할 수 있다. 이어서, 캐리어 가스와 화합물을 반응기 내로 증기로서 주입한다.
필요에 따라서, 화합물을 함유하는 용기는 화합물이 에칭 기기 내로 전달되기에 충분한 증기압을 갖게 되는 온도로 가열될 수 있다. 용기는, 예를 들어, 약 25 ℃ 내지 약 100 ℃, 바람직하게는 약 25 ℃ 내지 약 50 ℃에서 유지될 수 있다. 보다 바람직하게는, 에칭 기기로 가는 라인이 가열되는 것을 피하기 위하여 용기는 실온 (~25 ℃)에서 유지된다. 당업자는 기화되는 화합물의 양을 조절하기 위하여 용기의 온도가 공지된 방법으로 조절될 수 있다는 것을 알고 있다.
개시된 에칭 가스는 고 종횡비 구조의 우수한 프로파일을 제공할 뿐만 아니라 마스크에 대한 손상이 거의 없거나 전무하므로, 하나 이상의 Si-함유층 내에 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 플라즈마 에칭하기에 적절하며, 현재 및 차세대 마스크 재료와 상용될 수 있다. 이러한 특성을 얻기 위하여, 개시된 에치 가스는 에칭 중에 내-부식성 중합체 층을 침착시켜 에칭 공정 중 산소 및 불소 라디칼의 직접적인 영향을 감소시킬 수 있다. 개시된 화합물은 또한 에칭 공정 중 폴리-Si 채널 구조에 대한 손상을 감소시킬 수 있다 (황 (Hwang) 등의 US 2011/0180941 참조). 바람직하게는, 에칭 가스는 에칭 공정 중에 반응기/챔버 내로 전달되도록 적절히 휘발성이고 동시에 안정하다.
개시된 에칭 가스는 기판 상의 규소-함유층을 플라즈마 에칭하는데 사용될 수 있다. 개시된 플라즈마 에칭 방법은 NAND 또는 3D NAND 게이트 또는 플래쉬 또는 DRAM 메모리와 같은 반도체 소자의 제조에 유용할 수 있다. 개시된 에칭 가스는 다른 용도 분야, 예를 들어, 상이한 라인 전단 (FEOL) 및 라인 후단 (BEOL) 에치 용도로 사용될 수 있다. 또한, 개시된 에칭 가스는 로직 기판 상에 메모리 기판을 상호연결시키기 위해 3D TSV (쓰루 실리콘 비아) 에치 용도에서 Si를 에칭하는데 사용될 수 있다.
플라즈마 에칭 방법은 기판이 배치되어 있는 플라즈마 반응 챔버를 제공하는 것을 포함한다. 플라즈마 반응 챔버는 에칭 방법이 수행되는 장치, 예컨대, 비제한적으로는 반응성 이온 에칭 (RIE), 단수 또는 복수의 주파수 RF 소스가 있는 이중 축전 커플링 플라즈마 (CCP), 유도 커플링 플라즈마 (ICP) 또는 마이크로파 플라즈마 반응기, 또는 Si 함유층의 일부를 선택적으로 제거하거나 활성 종을 생성할 수 있는 다른 타입의 에칭 시스템 내의 어떠한 인클로져(enclosure) 또는 챔버일 수 있다. 당업자는 상이한 플라즈마 반응 챔버 디자인이 상이한 전자 온도 제어를 제공한다는 것을 인식하고 있을 것이다. 적절한 상업상 이용가능한 플라즈마 반응 챔버는 어플라이드 머티리얼즈 (Applied Materials)로부터 이맥스 (eMAX)™로 시판되는 자기 강화된 반응성 이온 에칭기 또는 다이일렉트릭 에치 프로덕트 패밀리 (Dielectric etch product family)로부터 2300® 플렉스 (Flex)™로 시판되는 램 (Lam) 리써치 듀얼 CCP 반응성 이온 에칭기를 포함하나, 이에 한정되는 것은 아니다.
플라즈마 반응 챔버는 하나 이상의 기판을 함유할 수 있다. 예를 들어, 플라즈마 반응 챔버는 직경이 25.4 mm 내지 450 mm인 1 내지 200개의 실리콘 웨이퍼를 함유할 수 있다. 하나 이상의 기판은 반도체, 광전지, 평판 패널 또는 LCD-TFT 소자 제조 분야에서 사용되는 어떠한 적절한 기판이라도 무방하다. 기판은 하나 이상의 규소-함유 필름 또는 층을 포함하여, 그 위에 복수 개의 필름 또는 층을 가질 수 있다. 기판은 패턴화되어 있거나 그렇지 않을 수 있다. 적절한 층의 예는 규소 (예컨대, 무정형 규소, 폴리실리콘, 결정성 규소로서, 어느 것이나 B, C, P, As 및/또는 Ge로 p-도핑되거나 n-도핑될 수 있음), 실리카, 질화규소, 산화규소, 옥시질화규소, 텅스텐, 질화티타늄, 질화탄탈룸, 무정형 탄소와 같은 마스크 재료, 반사방지 코팅, 포토레지스트 재료 또는 그들의 조합을 포함하나, 이에 한정되는 것은 아니다. 산화규소 층은 유기계 또는 산화규소계 저-k 유전체 재료 (예를 들어, 다공성 SiCOH 필름)와 같은 유전체 재료를 구성할 수 있다. 저-k 유전체 재료의 예로는 어플라이드 머티리얼즈에서 제조된 상표명 블랙 다이아몬드 (Black Diamond) II 또는 III이 있다. 또한, 텅스텐 또는 귀금속 (예를 들어, 백금, 팔라듐, 로듐 또는 금)을 포함하는 층들이 사용될 수 있다.
기판은 복수 개의 규소-함유층들의 스택을 그 위에 포함할 수 있으며, 스택은 도 9 및 10에 도시된 것과 유사하다. 도 9에서, 7개의 SiO/SiN 층 스택이 실리콘 웨이퍼 기판 위에 배치되어 있다 (즉, ONON 또는 TCAT 기술). 당업자는 일부 기술에서 SiN 층이 폴리Si 층으로 대체된다는 것을 알고 있을 것이다 (즉, P-BICS 기술에서 SiO/폴리Si 층). 당업자는 또한 3D NAND 스택 중 SiO/SiN 또는 SiO/폴리-Si 층의 수가 달라질 수 있다는 것을 알고 있다 (즉, 도시된 7개의 SiO/SiN 층들보다 많거나 적은 수의 층을 포함할 수 있다). 무정형 탄소 마스크 층이 7개의 SiO/SiN 층들 위에 배치되어 있다. 반사방지 코팅층이 무정형 탄소 마스크 위에 배치되어 있다. 패턴 포토레지스트 층이 반사방지 코팅 위에 배치되어 있다. 도 9에 도시된 층 스택은 3D NAND 게이트에 사용되는 것과 유사한 층들을 나타낸다. 도 10에서, 두꺼운 SiO 층이 실리콘 웨이퍼 기판 상에 배치되어 있다. 무정형 탄소 마스크 층이 두꺼운 SiO 층 위에 배치되어 있다. 반사방지 코팅층이 무정형 탄소 마스크 위에 배치되어 있다. 패턴 포토레지스트 층이 반사방지 코팅 위에 배치되어 있다. 도 10에 도시된 층들의 스택은 DRAM 게이트에 사용되는 것과 유사한 층들을 나타낸다. 개시된 에칭 가스는 무정형 탄소 마스크, 반사방지 코팅, 또는 포토레지스트 층을 거쳐 규소-함유층 (즉, SiO, SiN, 폴리Si)을 선택적으로 에칭한다. 이들 층들은 동일하거나 상이한 반응 챔버 내에서 다른 에칭 가스에 의해 제거될 수 있다. 당업자는 도 9 및 10의 층 스택이 단지 예시를 위해 제공된 것임을 알 것이다.
개시된 에칭 가스는 기판과 규소-함유층이 배치되어 있는 플라즈마 반응 챔버 내로 주입된다. 가스는 챔버 내로 약 0.1 sccm 내지 약 1 slm의 유속으로 주입될 수 있다. 예를 들어, 200 mm 웨이퍼 크기에 있어서, 가스는 챔버 내로 약 5 sccm 내지 약 50 sccm의 유속으로 주입될 수 있다. 또한, 450 mm 웨이퍼 크기에 있어서, 가스는 챔버 내로 약 25 sccm 내지 약 250 sccm의 유속으로 주입될 수 있다. 당업자는 유속이 기기에 따라 달라질 수 있음을 알 것이다.
플라즈마를 유지하기 위해서, 불활성 가스가 또한 플라즈마 반응 챔버 내로 주입된다. 불활성 가스는 He, Ar, Xe, Kr, Ne 또는 그들의 조합일 수 있다. 에칭 가스와 불활성 가스는 챔버 내로 도입되기 전에 혼합될 수 있으며, 불활성 가스는 생성되는 혼합물의 약 50% v/v 내지 약 95% v/v를 구성한다. 또한, 불활성 가스는 챔버로 연속적으로 주입되고, 에칭 가스는 챔버에 펄스 방식으로 주입될 수 있다.
개시된 에칭 가스와 불활성 가스는 플라즈마에 의해 활성화되어 활성화된 에칭 가스를 생성한다. 플라즈마는 에칭 가스를 라디칼 형태 (즉, 활성화된 에칭 가스)로 분해한다. 플라즈마는 RF 또는 DC 파워를 인가하여 생성될 수 있다. 플라즈마는 약 25 W 내지 약 10,000 W의 RF 파워로 생성될 수 있다. 플라즈마는 반응기 자체 내에서 생성되거나 존재할 수 있다. 플라즈마는 RF를 양 전극에 인가하여 듀얼 CCP 또는 ICP 모드로 생성될 수 있다. 플라즈마의 RF 주파수는 200 KHz 내지 1 GHz일 수 있다. 상이한 주파수의 상이한 RF 소스가 커플링되어 동일한 전극에 인가될 수 있다. 플라즈마 RF 펄싱은 기판에서의 분자 단편화 및 반응을 조절하기 위해 또한 사용될 수 있다. 당업자는 그와 같은 플라즈마 처리에 적절한 방법과 장치를 알고 있을 것이다.
4중극자 질량분석기 (QMS), 발광 분석기, FTIR 또는 기타 라디칼/이온 측정 기기는 활성화된 에칭 가스를 측정하여 생성된 종의 종류 및 수를 결정할 수 있다. 필요에 따라, 에칭 가스 및/또는 불활성 가스의 유속은 생성되는 라디칼 종의 수를 증가시키거나 감소시키도록 조절될 수 있다.
개시된 에칭 가스는 플라즈마 반응 챔버 내로 주입되기 전이나 플라즈마 반응 챔버 내에서 다른 가스와 혼합될 수 있다. 바람직하게는, 도입되는 가스를 균일한 농도로 제공하기 위해 챔버 내로 주입하기 전에 가스들이 혼합될 수 있다. 또 다른 실시양태에서, 2종 이상의 가스가 반응되는 경우에서와 같이, 에칭 가스는 챔버 내로 다른 가스와는 독립적으로 주입될 수 있다. 또 다른 실시양태에서, 에칭 가스와 불활성 가스는 에칭 공정 중에 사용되는 단지 두 종류의 가스이다.
다른 가스의 예는 O2, O3, CO, CO2, NO, N2O, NO2와 같은 산화제 또는 그들의 조합을 포함하나, 이에 한정되는 것은 아니다. 개시된 에칭 가스와 산화제는 플라즈마 반응 챔버 내로 주입되기 전에 함께 혼합될 수 있다. 또한, 산화제는 챔버 내로 연속적으로 주입되고, 에칭 가스는 챔버 내로 펄스 방식으로 주입될 수 있다. 산화제는 챔버 내로 주입되는 혼합물의 약 5% v/v 내지 약 100% v/v를 구성할 수 있다 (100% v/v는 연속적인 주입으로 순수 산화제를 주입하는 것을 나타냄).
에칭 가스와 함께 혼합될 수 있는 다른 가스의 예는 추가의 에칭 가스, 예를 들어, cC4F8, C4F6, CF4, CHF3, CFH3, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I 및 SO2를 포함한다. 에칭 가스 및 추가의 가스의 증기는 플라즈마 반응 챔버 내로 도입되기 전에 혼합될 수 있다. 추가의 에칭 가스는 챔버 내로 주입되는 혼합물의 약 1 % v/v 내지 약 99.9% v/v를 구성할 수 있다.
Si-함유층과 활성화된 에칭 가스가 반응하여 휘발성 부산물을 형성하고, 이것이 플라즈마 반응 챔버로부터 제거된다. 무정형 탄소 마스크, 반사방지 코팅 및 포토레지스트 층은 활성화된 에칭 가스와 덜 반응성이다.
플라즈마 반응 챔버 내의 온도 및 압력은 규소-함유층이 활성화된 에칭 가스와 반응하는데 적절한 조건하에 유지된다. 예를 들어, 챔버 내의 압력은 에칭 파라미터가 요구하는 바에 따라, 약 0.1 mTorr 내지 약 1000 Torr, 바람직하게는 약 1 mTorr 내지 약 10 Torr, 보다 바람직하게는 약 10 mTorr 내지 약 1 Torr, 보다 더 바람직하게는 약 10 mTorr 내지 약 100 mTorr로 유지될 수 있다. 마찬가지로, 챔버 내의 기판 온도는 약 -196 ℃ 내지 약 500 ℃, 바람직하게는 -120 ℃ 내지 약 300 ℃, 보다 바람직하게는 -10 ℃ 내지 약 40 ℃일 수 있다. 챔버 벽 온도는 공정 요건에 따라서 약 -196 ℃ 내지 약 300 ℃일 수 있다.
Si-함유층과 활성화된 에칭 가스 사이의 반응으로 기판으로부터 Si-함유층이 비등방형으로 제거된다. Si-함유층 내에는 질소, 산소 및/또는 탄소의 원자가 또한 존재할 수 있다. 층의 제거는 Si-함유층을 (플라즈마에 의해 가속화된) 플라즈마 이온으로부터 물리적으로 스퍼터링하고/거나 플라즈마 종을 화학적으로 반응시켜 Si를 휘발성 종, 예컨대, SiFx (여기서, x는 1 내지 4임)로 전환시킴으로써 이루어진다.
활성화된 에칭 가스는 바람직하게는 마스크에 대해 높은 선택성을 나타내며, SiO 및 SiN의 교대하는 층을 뚫고 에칭하여 휘어짐이 없는 수직 에치 프로파일을 제공하며, 이는 3D NAND 용도에서 중요하다. 예컨대, DRAM 및 2D NAND와 같은 다른 용도에서, 플라즈마 활성화된 에칭 가스는 SiN으로부터 SiO를 선택적으로 에칭할 수 있다. 플라즈마 활성화된 에칭 가스는 바람직하게는 무정형 탄소, 포토레지스트, 폴리실리콘 또는 탄화규소와 같은 마스크 층으로부터, 또는 Cu와 같은 금속 콘택트 층으로부터, 또는 SiGe로 이루어지는 채널 영역 또는 폴리실리콘 영역으로부터 SiO 및/또는 SiN을 선택적으로 에칭한다.
개시된 에칭 가스를 사용하는 개시된 에칭 방법은 Si-함유층 내에 채널 홀, 게이트 트렌치, 스테어케이스 콘택트, 커패시터 홀, 콘택트 홀 등을 형성한다. 형성된 간극은 종횡비가 약 10:1 내지 약 100:1에 이르고, 직경이 약 40 nm 내지 약 50 nm일 수 있다. 예를 들어, 당업자는 채널 홀 에치가 Si-함유층 내에 종횡비가 60:1을 초과하는 간극을 생성한다는 것을 인식하고 있을 것이다.
하나의 비제한적인 플라즈마 에치 공정의 예에서, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐을 조절된 가스 흐름 장치를 사용하여 200 mm 듀얼 CCP 플라즈마 에치 기기 내로 주입한다. 조절된 가스 흐름 장치는 유량 조절기일 수 있다. 고비점 분자의 경우에, 브룩스 오토메이션 (Brooks Automation) (No. GF120XSD), MKS 인스트루먼츠 (Instruments) 등으로부터의 특수 저압 강하 유량 조절기를 사용할 수 있다. 플라즈마 반응 챔버의 압력은 약 30 mTorr로 설정한다. 이 화합물의 증기압이 25 ℃에서 약 1340 torr이므로, 가스 공급원의 가열은 필요하지 않다. 두 개의 CCP 전극 사이의 거리는 1.35 cm로 유지하고, 상부 전극 RF 파워는 750 W로 고정한다. 하부 전극 RF 파워는 분자의 성능을 분석하기 위하여 변화시킨다. 플라즈마 반응 챔버에는 도 9에 도시되어 있는 것과 유사하게, 24쌍의 SiO 및 SiN 층이 적층되어 있는 기판이 배치되어 있다. 이 공정 전에, ARC 층을 플루오로탄소 및 산소-함유 가스로 제거하고, APF 층을 산소-함유 가스로 제거한다. 아르곤을 챔버 내로 250 sccm 유속으로 독립적으로 주입한다. 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐을 챔버 내로 15 sccm으로 독립적으로 주입한다. O2를 챔버 내로 0 내지 20 sccm으로 독립적으로 주입하여 최적 에칭 조건을 결정한다. 종횡비가 30:1 이상인 간극이 생성되며, 이를 수직 NAND에서 채널 홀로 사용할 수 있다.
또 다른 비제한적인 플라즈마 에치 공정의 예에서, 헥사플루오로이소부텐을 조절된 가스 흐름 장치를 사용하여 200 mm 듀얼 CCP 플라즈마 에치 기기 내로 주입한다. 조절된 가스 흐름 장치는 유량 조절기일 수 있다. 고비점 분자의 경우에, 브룩스 오토메이션 (No. GF120XSD), MKS 인스트루먼츠 등으로부터의 특수 저압 강하 유량 조절기를 사용할 수 있다. 플라즈마 반응 챔버의 압력은 약 30 mTorr로 설정한다. 이 화합물의 증기압이 20 ℃에서 약 900 torr이므로, 가스 공급원의 가열은 필요하지 않다. 두 개의 CCP 전극 사이의 거리는 1.35 cm로 유지하고, 상부 전극 RF 파워는 750 W로 고정한다. 하부 전극 RF 파워는 분자의 성능을 분석하기 위하여 변화시킨다. 플라즈마 반응 챔버에는 도 10에 도시되어 있는 것과 유사하게, 두꺼운 SiO 층이 적층되어 있는 기판이 배치되어 있다. 이 공정 전에, ARC 층을 플루오로탄소 및 산소-함유 가스로 제거하고, APF 층을 산소-함유 가스로 제거한다. 아르곤은 챔버 내로 250 sccm 유속으로 독립적으로 주입한다. 헥사플루오로이소부텐을 챔버 내로 15 sccm으로 독립적으로 주입한다. O2를 챔버 내로 0 내지 20 sccm으로 주입하여 최적 에칭 조건을 결정한다. 종횡비가 10:1 이상인 간극이 생성되며, 이를 DRAM중 콘택트 홀로 사용할 수 있다.
<실시예>
하기 비제한적인 실시예는 본 발명의 실시양태를 더욱 상세히 설명하기 위해 제공된다. 그러나, 실시예는 포괄적인 것은 아니며, 본 명세서에 기재된 발명의 범주를 제한하려는 것이 아니다.
다음 시험은 SAMCO10-NR 반응성 이온 에칭기 (RIE) 또는 램 (Lam) 4520XLE™ 어드밴스드 유전 에치 시스템 (200 mm 이중 주파수 축전 커플링 플라즈마 (CCP) 이온 에치)을 사용하여 수행되었다.
실시예 1
C4F6 및 시클릭 C4F8을 4중극자 질량분석기 (QMS) 내로 직접 주입하고, 10 내지 100 eV에서 데이터를 수집하였다. 결과는 도 11 및 12에 나타나 있다. C4F6으로부터의 단편은 C4F8으로부터의 단편보다 낮은 F:C 비율을 가졌으며, 이는 보다 높은 중합체 침착 속도를 나타내며, 선택성을 개선할 수 있다.
중합체는 RIE 플라즈마 반응 챔버 내로 30 sccm로 1 sccm의 아르곤과 함께 주입하여 침착시켰다. 챔버 내의 압력은 5 Pa로 설정하였다. 플라즈마를 300 W로 설정하였다. 중합체는 cC4F8로부터 100 nm/min으로 침착되었으며, 0.90 F:C 비율을 나타냈다. 중합체는 C4F6로부터 280 nm/min으로 침착되었으며, 0.76 F:C 비율을 나타냈다. C4F6은 훨씬 높은 침착 속도를 나타냈고, 생성된 필름은 중합체 내의 보다 낮은 F:C 비율을 나타냈으며, 이는 증가된 가교결합을 나타낼 수 있다.
실시예 2
중합체를 시클릭 C4F6H2 및 시클릭 C4F5H3로부터 실시예 1과 동일한 조건 (즉, 30 sccm 에칭 가스, 1 sccm Ar, 5 Pa 및 300 W)하에 침착시켰다. 시클릭 C4F6H2 및 시클릭 C4F5H3는 시클릭 C4F8과 유사하나, 2 또는 3개의 F 원자가 H로 치환된 것이다. 중합체는 시클릭 C4F6H2로부터 150 nm/min으로 침착되었으며, 0.59 F:C 비율을 나타냈다. 중합체는 시클릭 C4F5H3로부터 200 nm/min으로 침착되었으며, 0.50 F:C 비율을 나타냈다. 시클릭 부탄 분자 상의 수소 함량을 증가시킴으로써 중합체 침착 속도가 증가하였으며, 생성된 중합체 내의 F:C 비율이 감소되었다.
실시예 3
동일한 화학 조성 (즉, C4F6H2)을 갖는 두 가지 분자를 4중극자 질량분석기 (QMS)로 직접 주입하고, 10 내지 100 eV에서 데이터를 수집하였다. 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐 (CAS No. 66711-86-2)에 대한 결과는 도 13에 나타나 있다. 헥사플루오로이소부텐 (CAS No. 382-10-5)에 대한 결과는 도 14에 나타나 있다. 높은 에너지에서, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐에 비하여 보다 많은 CF3 단편과 보다 적은 C3F3H2 단편이 헥사플루오로이소부텐로부터 생성되었다. C4F6으로부터의 단편은 C4F8으로부터의 단편보다 낮은 F:C 비율을 나타냈으며, 이는 보다 높은 중합체 침착 속도를 나타내며, 선택성을 개선할 수 있다.
중합체를 두 가지 C4F6H2 화합물로부터 실시예 1과 동일한 조건 (즉, 30 sccm 에칭 가스, 1 sccm Ar, 5 Pa 및 300 W)하에 침착시켰다. 중합체는 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐으로부터 250 nm/min으로 침착되었으며, 0.53 F:C 비율을 나타냈다. 중합체는 시클릭 헥사플루오로이소부텐으로부터 220 nm/min으로 침착되었으며, 0.53 F:C 비율을 나타냈다.
실시예 4
하기 표는 여러 가지 에칭 가스에 대한 시험 결과를 요약한 것이다:
Figure 112019119069549-pat00078
1cC4F8 = 옥타플루오로시클로부탄; C4F6 = 헥사플루오로부타디엔, C4F8 = 옥타플루오로-2-부텐
230 sccm 에칭 가스, 1 sccm Ar, 5 Pa 및 300 W
이들 결과에 기초하여, 가장 낮은 중합체 침착 속도에서 생성된 중합체 중 가장 높은 F:C 비율을 나타냈다 (cC4F8 및 C4F8). 이중 결합을 갖는 네 가지 분자 (즉, 2 내지 5 열) 사이의 중합체 침착 속도 (nm/min)에 있어서의 큰 차이는 이중 결합이 중합을 전적으로 조절하는 것이 아니라는 것을 나타낸다. 대신에, 침착 속도는 보다 밀접하게 단편화와 관련이 있다. 다시 말해서, 보다 높은 F:C 비율을 갖는 단편을 생성하는 분자가 감소된 중합체 침착 속도를 나타냈다.
실시예 5
H의 증가가 SiO2 에치 속도에 미치는 효과를 분석하였다. 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄에 있어서, 산소 흐름 (sccm)에 대한 SiO2 에치 속도의 그래프가 도 15에 나타나 있다. cC4F5H3에 있어서, 산소 흐름 (sccm)에 대한 SiO2 에치 속도의 그래프가 도 16에 나타나 있다. 하나의 F를 H로 치환하는 것은 보다 높은 산소 유속 및 보다 좁은 프로세스 윈도우를 가져왔다.
H의 증가가 무정형 탄소 (a-C), 포토레지스트 (PR) 및 질화물에 대한 산화물 선택성에 미치는 효과를 분석하였다. 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄에 있어서, 산소 흐름에 대한 선택성의 그래프가 도 17에 제공되어 있다. cC4F5H3에 있어서, 산소 흐름에 대한 선택성의 그래프가 도 18에 제공되어 있다. 도 17 및 18에서의 분자 유속은 도 15 및 16에서의 분자 유속과 같다 (즉, 좌측 사각형으로 표시된 데이터는 5 sccm 에치 가스 유속, 좌측에서 두번째의 다이아몬드로 표시된 데이터는 10 sccm, 우측에서 두번째 삼각형으로 표시된 데이터는 15 sccm, 우측 원형으로 표시된 데이터는 20 sccm 에치 가스 유속임). 도 17 및 18에서, 속이 찬 부호는 산화규소/포토레지스트 선택성을, 속이 빈 부호는 산화규소/질화규소 선택성을, 빗금친 부호는 산화규소/무정형 탄소 선택성을 나타낸다.
실시예 6
하기 표는 여러 가지 에칭 가스에 대한 시험 결과를 요약한 것이다:
Figure 112019119069549-pat00079
3cC4F8 = 옥타플루오로시클로부탄; C4F6 = 헥사플루오로부타디엔, C4F8 = 옥타플루오로-2-부텐
분자들을 유사한 SiO2 에치 속도 조건 (ER 40 내지 50 nm/min)하에 비교하였다. 에칭 가스와 산소 유속을 에치 속도 범위 내에서 최적의 선택성을 위해 선택하였다. 다른 플라즈마 조건은 고정시켰다 (즉, Ar = 150 sccm, 300 W, 5 Pa). PR, a-C 및 N 칼럼은 SiO2와 포토레지스트 (PR), 무정형 탄소 (a-C) 및 질화규소 (N) 사이의 선택성을 나타낸다. 이들 결과, 특히 cC4F8, 23102-94-4 (트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄) 및 2253-02-3 (1,1,2,2,3-펜타플루오로시클로부탄)에 대한 결과에 기초하여, H가 증가하면 마스크 선택성을 증가시켰다. 또한, 66711-86-2 (트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐)와 382-10-5 (헥사플루오로이소부텐)는 동일한 화학 조성 (즉, C4F6H2)을 가졌으나, 상이한 구조는 상당히 상이한 결과를 나타냈다.
실시예 7
DRAM 패턴 스택의 일부를 에칭하는 경우의 H 함량의 증가 효과를 분석하였다. DRAM 패턴화 스택의 부분은 최하층으로부터 4 마이크론 SiO2 기판 (실록스 (Silox)), 무정형 탄소층 (3.5 kÅ), 옥시질화규소 층 (1.0 kÅ), 반사방지 코팅층 (ARC29a - 0.8 kÅ) 상의 P6100 패턴 (2.9 kÅ)으로 이루어졌다. 아르곤은 150 sccm로 주입하였다. 챔버를 5 Pa로 유지하였다. SAMCO RIE를 300 W로 설정하였다. 15 sccm의 cC4F8를 사용하여 산소를 사용하지 않고 10분 동안 에칭한 결과의 주사 전자 현미경 사진이 도 19에 제공되어 있다. 15 sccm의 cC4F6H2 및 12 sccm의 산소를 사용하여 10분 동안 에칭한 결과의 주사 전자 현미경 사진이 도 20에 제공되어 있다. 15 sccm의 cC4F5H3와 22 sccm 산소를 사용하여 10분 동안 에칭한 결과의 주사 전자 현미경 사진이 도 21에 제공되어 있다. 도면에서 알 수 있는 바와 같이, H의 증가는 테이퍼드 (tapered) 프로파일을 촉진하고, 에치 속도의 감소를 가져온다 (590 nm→ 380 nm→ 270 nm). 증가된 H 함량은 좁은 트렌치를 유지시켰다. 도 21의 110 nm 트렌치는 에치 전에 존재하였으며, 트렌치는 cC4F6H2에 의해서는 270 nm로, cC4F8에 의해서는 260 nm로 증가되었다.
실시예 8
도 22는 C4F8 분자 상으로 H 치환, 이중 결합 및 O를 부가하는 것의 흐름도이다. C4F8은 도 22의 좌측 상단 코너에 도시되어 있다. 2 또는 3개의 F 원자를 수소 원자로 치환할 때 (상단 화살표를 따라 좌측에서 우측으로), SiO와 마스크 사이의 선택성 증가 및 중합체 침착 속도의 증가가 나타났다. 그러나, H가 증가된 분자는 또한 O2 희석의 증가를 요한다. 중합체 침착 속도는 증가되었으나 유사한 선택성과 O2 희석 요건은 두 개의 F 원자가 이중 결합으로 치환된 경우에 (즉, 분자가 포화 상태에서 불포화 상태로 변화; 첫번째 열 좌측에서 두번째 열 중앙으로) 나타났다. 산소 부가의 결과 선택성이 불량해지고, 중합체 침착이 일어나지 않았다 (좌측 상단에서 아래로). 산소-함유 분자 상에서 불소 원자가 수소 원자로 치환된 경우 (좌측 하단), 선택성과 중합체 침착 속도는 증가했으나, 프로세스 윈도우는 좁아졌다.
실시예 9
시클릭 C4F8 (옥타플루오로시클로부탄), C4F6 (헥사플루오로-1,3-부타디엔) 및 선형 C4F6H2 (CAS 66711-86-2)에 대한 침착 및 에치 속도를 측정하였다.
램 (Lam) 에치 시스템의 소스 또는 RF 파워를 750 W로 설정하고, 바이어스 파워를 1500 W로 설정하였다. 압력을 30 mTorr로 설정하였다. 플레이트 사이의 거리를 1.35 cm로 하였다. 산소를 유속 15 sccm으로 주입하였다. 아르곤 가스를 유속 250 sccm으로 주입하였다. 각 에치 가스를 15 sccm로 주입하였다. 결과를 하기 표에 나타냈다:
Figure 112019119069549-pat00080
4cC4F8 = 옥타플루오로시클로부탄; C4F6 = 헥사플루오로부타디엔
66711-86-2 (트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐)가 통상의 cC4F8에 비하여 더 양호한 산화규소와 무정형 탄소 사이의 선택성을 가졌으며, 산화규소 에치 속도는 유사하였다. 66711-86-2도 또한 cC4F8 보다 높은 침착 속도를 나타냈다.
실시예 10
1,1,1,2,4,4,4-헵타플루오로-2-부텐을 사용하여 SiO2, SiN, p-Si (폴리실리콘) 및 a-C (무정형 탄소)의 에치 속도를 측정하였다.
램 (Lam) 에치 시스템의 소스 또는 RF 파워를 750 W로 설정하고, 바이어스 파워를 1500 W로 설정하였다. 압력을 30 mTorr로 설정하였다. 플레이트 사이의 거리를 1.35 cm로 하였다. 산소를 유속 15 sccm으로 주입하였다. 아르곤 가스를 유속 250 sccm으로 주입하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐을 15 sccm의 유속으로 주입하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐은 SiO2 층을 550 nm/min의 속도로 에칭하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐은 SiN 층을 150 nm/min의 속도로 에칭하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐은 p-Si 층을 50 nm/min의 속도로 에칭하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐은 a-C 층을 75 nm/min의 속도로 에칭하였다. 1,1,1,2,4,4,4-헵타플루오로-2-부텐은 SiO2와 p-Si 및 a-C 사이의 양호한 선택성을 나타냈다.
본 발명의 실시양태가 개시되고 기재되어 있으나, 당업자에 의해 본 발명의 요지 또는 교시 사항을 벗어남이 없이 본 발명에 대한 변경이 이루어질 수 있다. 본 명세서에 기재된 실시양태는 단지 예시를 위한 것으로 본 발명을 제한하려는 것이 아니다. 조성물 및 방법에 대해 본 발명의 범주 내에서 다양한 변경과 수정이 가능하다. 따라서, 발명의 보호 범위는 본 명세서에 기재된 실시양태로 한정되는 것이 아니라, 첨부된 특허청구범위에 의해서만 한정되며, 청구범위는 청구항의 주제의 모든 균등물을 포함한다.

Claims (15)

  1. 기판 상에 제1 에칭 층과 제2 에칭 층이 교대하는 층 및 교대하는 층 상에 경질 마스크 층을 갖는 3D NAND 플래쉬 메모리의 제조 방법으로서,
    경질 마스크 층 상에 경질 마스크 패턴을 형성하는 단계, 및
    경질 마스크 패턴을 사용하여 헥사플루오로이소부텐 (CAS No. 382-10-5); 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 및 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4)로 이루어지는 군으로부터 선택되는 하이드로플루오로카본(hydrofluorocarbon) 에칭 가스를 사용하여 플라즈마 반응 챔버 내에서 경질 마스크 층에 비해 제1 에칭 층과 제2 에칭 층이 교대하는 층을 선택적으로 플라즈마 에칭함으로써 교대하는 층 내에 간극을 형성하는 단계를 포함하고,
    제1 에칭 층은 제2 에칭 층의 재료와는 상이한 재료를 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
  2. 제1항에 있어서,
    교대하는 층은 산화규소, 질화규소, 폴리-Si, 옥시질화규소, 실리카, SiCOH, 또는 이들의 조합의 층을 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
  3. 제1항에 있어서,
    제1 에칭 층은 산화규소 층을 포함하고 제2 에칭 층은 질화규소 층을 포함하거나, 또는 제1 에칭 층은 질화규소 층을 포함하고 제2 에칭 층은 산화규소 층을 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
  4. 제1항에 있어서,
    경질 마스크 층은 탄소 및 금속으로 구성되는 3D NAND 플래쉬 메모리의 제조 방법.
  5. 제4항에 있어서,
    교대하는 층은 탄소 및 금속으로 구성되는 경질 마스크 층으로부터 선택적으로 플라즈마 에칭되는 3D NAND 플래쉬 메모리의 제조 방법.
  6. 삭제
  7. 제1항에 있어서,
    하이드로플루오로카본 에칭 가스가 헥사플루오로이소부텐인 3D NAND 플래쉬 메모리의 제조 방법.
  8. 제1항 내지 제5항 및 제7항 중 어느 한 항에 있어서,
    하이드로플루오로카본 에칭 가스가 경질 마스크 층에 비해 제1 에칭 층과 제2 에칭 층이 교대하는 층을 개선된 선택성으로 플라즈마 에칭하는 3D NAND 플래쉬 메모리의 제조 방법.
  9. 제1항 내지 제5항 및 제7항 중 어느 한 항에 있어서,
    간극이 10:1 내지 100:1의 종횡비를 갖는 3D NAND 플래쉬 메모리의 제조 방법.
  10. 제1항 내지 제5항 및 제7항 중 어느 한 항에 있어서,
    플라즈마 반응 챔버 내로 산화제를 주입하는 것을 더 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
  11. 제10항에 있어서,
    산소-함유 가스는 O2, O3, CO, CO2, NO, N2O, NO2 및 이들의 조합으로 이루어지는 군에서 선택되는 3D NAND 플래쉬 메모리의 제조 방법.
  12. 제1항 내지 제5항 및 제7항 중 어느 한 항에 있어서,
    플라즈마 반응 챔버 내로 불활성 가스를 주입하는 것을 더 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
  13. 제1항 내지 제5항 및 제7항 중 어느 한 항에 있어서,
    제2 에치 가스를 주입하는 것을 더 포함하고, 제2 에치 가스는 cC4F8, C4F8, cC5F8, C4F6, CF4, CHF3, CFH3, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I 및 SO2로 이루어지는 군으로부터 선택되는 3D NAND 플래쉬 메모리의 제조 방법.
  14. 삭제
  15. 기판 상에 제1 에칭 층과 제2 에칭 층이 교대하는 층 및 교대하는 층 상에 경질 마스크 층을 갖는 3D NAND 플래쉬 메모리의 제조 방법으로서,
    경질 마스크 층 상에 경질 마스크 패턴을 형성하는 단계, 및
    경질 마스크 패턴을 사용하여 헥사플루오로이소부텐 에칭 가스를 사용하여 경질 마스크 층에 비해 제1 에칭 층과 제2 에칭 층이 교대하는 층을 선택적으로 플라즈마 에칭함으로써 교대하는 층 내에 간극을 형성하는 단계를 포함하고,
    제1 에칭 층은 제2 에칭 층의 재료와는 상이한 재료를 포함하는 3D NAND 플래쉬 메모리의 제조 방법.
KR1020197034193A 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 KR102153246B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261720139P 2012-10-30 2012-10-30
US61/720,139 2012-10-30
PCT/US2013/067415 WO2014070838A1 (en) 2012-10-30 2013-10-30 Fluorocarbon molecules for high aspect ratio oxide etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157029992A Division KR102048959B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스

Publications (2)

Publication Number Publication Date
KR20190132564A KR20190132564A (ko) 2019-11-27
KR102153246B1 true KR102153246B1 (ko) 2020-09-07

Family

ID=50628017

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157029992A KR102048959B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
KR1020147015278A KR101564182B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
KR1020197034193A KR102153246B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020157029992A KR102048959B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
KR1020147015278A KR101564182B1 (ko) 2012-10-30 2013-10-30 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스

Country Status (7)

Country Link
US (3) US9514959B2 (ko)
JP (3) JP6257638B2 (ko)
KR (3) KR102048959B1 (ko)
CN (2) CN107275206B (ko)
SG (3) SG10201703513WA (ko)
TW (2) TWI623510B (ko)
WO (1) WO2014070838A1 (ko)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP2015170763A (ja) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN106163587B (zh) * 2014-04-08 2019-10-11 尼普洛株式会社 医疗阀
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10090168B2 (en) 2015-01-22 2018-10-02 Zeon Corporation Plasma etching method
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
JP6861802B2 (ja) * 2016-09-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 高アスペクト比構造のためのストリッププロセス
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
CN110546742B (zh) 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
JP6896522B2 (ja) 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
JP7030648B2 (ja) * 2018-08-09 2022-03-07 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP7173799B2 (ja) 2018-09-11 2022-11-16 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP6666601B2 (ja) * 2018-11-22 2020-03-18 東京エレクトロン株式会社 多孔質膜をエッチングする方法
JP6874778B2 (ja) * 2019-01-09 2021-05-19 ダイキン工業株式会社 シクロブタンの製造方法
SG11202110681SA (en) * 2019-03-27 2021-10-28 Daikin Ind Ltd Method for producing halogenated cycloalkane compound
JP7437580B2 (ja) * 2019-06-21 2024-02-26 ヒタチ・エナジー・リミテッド 誘電絶縁または消弧流体
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113035706A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀方法和刻蚀装置
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
KR20230058526A (ko) * 2020-10-15 2023-05-03 가부시끼가이샤 레조낙 가스 충전 완료 충전 용기 및 (e)-1,1,1,4,4,4-헥사플루오로-2-부텐의 보관 방법
IL302057A (en) 2020-10-15 2023-06-01 Resonac Corp Etching gas, etching method and method for manufacturing a semiconductor element
CN116325088A (zh) * 2020-10-15 2023-06-23 株式会社力森诺科 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法
IL302124A (en) 2020-10-15 2023-06-01 Resonac Corp Etching gas, etching method and method for manufacturing a semiconductor device
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010012694A1 (en) 1998-02-24 2001-08-09 Coburn John W. Plasma etching method using low ionization potential gas

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JP2570726B2 (ja) * 1987-03-05 1997-01-16 ミノルタ株式会社 摩擦帯電部材
JP3253215B2 (ja) 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JPH06329826A (ja) * 1993-05-17 1994-11-29 Daikin Ind Ltd フルオロシクロブタン化合物からなる発泡剤
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
KR100510158B1 (ko) * 1996-10-30 2005-08-25 고교기쥬쯔잉초가다이효스루니혼고쿠 드라이 에칭용 가스 조성물 및 드라이 에칭 방법
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6412984B2 (en) * 1998-05-14 2002-07-02 Nsk Ltd. Dynamic pressure bearing apparatus
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4432230B2 (ja) * 2000-07-27 2010-03-17 日本ゼオン株式会社 フッ素化炭化水素の精製方法、溶剤、潤滑性重合体含有液および潤滑性重合体膜を有する物品
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6972265B1 (en) 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US20050014383A1 (en) 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
US9175201B2 (en) 2004-12-21 2015-11-03 Honeywell International Inc. Stabilized iodocarbon compositions
MY155312A (en) 2004-12-21 2015-09-30 Honeywell Int Inc Stabilized iodocarbon compositions
JP4691702B2 (ja) * 2005-02-16 2011-06-01 独立行政法人産業技術総合研究所 トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタンの製造方法
US20060243944A1 (en) 2005-03-04 2006-11-02 Minor Barbara H Compositions comprising a fluoroolefin
JP5131436B2 (ja) * 2007-05-31 2013-01-30 日本ゼオン株式会社 エッチング方法
CN103980521B (zh) 2007-06-12 2016-11-23 纳幕尔杜邦公司 E-1,1,1,4,4,4-六氟-2-丁烯的共沸和类共沸组合物
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
EP2194569A4 (en) * 2007-09-28 2011-06-22 Zeon Corp plasma etching
JP2009093869A (ja) * 2007-10-05 2009-04-30 Sunarrow Ltd キーシートおよびその製造方法
US8614151B2 (en) 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
EP2260015B1 (en) 2008-03-19 2012-10-31 E. I. du Pont de Nemours and Company Process for making 1,1,1,4,4,4-hexafluoro-2-butene
JP5266902B2 (ja) * 2008-06-20 2013-08-21 日本ゼオン株式会社 含フッ素オレフィン化合物の製造方法
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
CA2752263A1 (en) * 2009-03-06 2010-09-10 Solvay Fluor Gmbh Use of unsaturated hydrofluorocarbons
JP2011060958A (ja) * 2009-09-09 2011-03-24 Toshiba Corp 半導体装置及びその製造方法
CN102668063B (zh) 2009-11-20 2015-02-18 株式会社半导体能源研究所 半导体装置
CN102598266B (zh) * 2009-11-20 2015-04-22 株式会社半导体能源研究所 半导体装置
US20110144216A1 (en) * 2009-12-16 2011-06-16 Honeywell International Inc. Compositions and uses of cis-1,1,1,4,4,4-hexafluoro-2-butene
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
TWI523900B (zh) 2010-07-20 2016-03-01 首威索勒希斯股份有限公司 氟彈性體組合物
RU2010147004A (ru) 2010-11-17 2012-05-27 Е.И.Дюпон де Немур энд Компани (US) Каталитический синтез внутренних фторбутенов и внутренних фторпентенов
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
JP5682381B2 (ja) 2011-03-09 2015-03-11 日本ゼオン株式会社 含ハロゲノフッ素化シクロアルカン、及び含水素フッ素化シクロアルカンの製造方法
US20130098396A1 (en) 2011-10-19 2013-04-25 E I Du Pont De Nemours And Company Novel 1,1,1,4,4,5,5,6,6,6-decafluorohex-2-ene isomer mixtures and uses thereof
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
JP2015514814A (ja) 2012-02-17 2015-05-21 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニーE.I.Du Pont De Nemours And Company Z−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンとe−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの共沸様組成物およびその使用
CN114752386A (zh) 2013-03-28 2022-07-15 得凯莫斯公司弗罗里达有限公司 氢氟烯烃蚀刻气体混合物
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010012694A1 (en) 1998-02-24 2001-08-09 Coburn John W. Plasma etching method using low ionization potential gas

Also Published As

Publication number Publication date
JP2015533029A (ja) 2015-11-16
KR20190132564A (ko) 2019-11-27
KR102048959B1 (ko) 2019-11-27
WO2014070838A1 (en) 2014-05-08
US11152223B2 (en) 2021-10-19
JP6257638B2 (ja) 2018-01-10
JP2018050074A (ja) 2018-03-29
KR101564182B1 (ko) 2015-10-28
SG11201503321XA (en) 2015-05-28
JP6527214B2 (ja) 2019-06-05
CN104885203B (zh) 2017-08-01
US10381240B2 (en) 2019-08-13
KR20150122266A (ko) 2015-10-30
KR20140090241A (ko) 2014-07-16
CN104885203A (zh) 2015-09-02
TWI623510B (zh) 2018-05-11
CN107275206A (zh) 2017-10-20
CN107275206B (zh) 2021-03-26
SG10202113236SA (en) 2021-12-30
JP2019195062A (ja) 2019-11-07
US20170032976A1 (en) 2017-02-02
US9514959B2 (en) 2016-12-06
TW201422780A (zh) 2014-06-16
TW201730142A (zh) 2017-09-01
SG10201703513WA (en) 2017-06-29
JP6811284B2 (ja) 2021-01-13
US20190326129A1 (en) 2019-10-24
US20150294880A1 (en) 2015-10-15
TWI588240B (zh) 2017-06-21

Similar Documents

Publication Publication Date Title
KR102153246B1 (ko) 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant