CN116325088A - 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法 - Google Patents

蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法 Download PDF

Info

Publication number
CN116325088A
CN116325088A CN202180069821.9A CN202180069821A CN116325088A CN 116325088 A CN116325088 A CN 116325088A CN 202180069821 A CN202180069821 A CN 202180069821A CN 116325088 A CN116325088 A CN 116325088A
Authority
CN
China
Prior art keywords
etching
gas
fluorobutene
chf
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180069821.9A
Other languages
English (en)
Inventor
铃木淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lishennoco Co ltd
Original Assignee
Lishennoco Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lishennoco Co ltd filed Critical Lishennoco Co ltd
Publication of CN116325088A publication Critical patent/CN116325088A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供一种能够与非蚀刻对象物相比选择性地蚀刻含有硅的蚀刻对象物的蚀刻气体和蚀刻方法。蚀刻气体含有由通式C4HxFy表示并且通式中的x为1以上7以下、y为1以上7以下且x+y为8的氟丁烯。并且,蚀刻气体含有碳酰氟作为杂质,碳酰氟的浓度为100质量ppm以下。蚀刻方法具备蚀刻工序,蚀刻工序使蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件(12)接触,与非蚀刻对象物相比选择性地蚀刻蚀刻对象物,蚀刻对象物是蚀刻气体的蚀刻对象,非蚀刻对象物不是蚀刻气体的蚀刻对象。蚀刻对象物含有硅。

Description

蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造 方法
技术领域
本发明涉及蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法。
背景技术
在半导体的制造工序中,使用干蚀刻进行氧化硅、氮化硅等硅化合物的图案化和除去。对于干蚀刻要求高的蚀刻选择性。即,要求与用于图案化的抗蚀剂和掩模相比能够选择性地蚀刻硅化合物。
提出了满足该要求的各种蚀刻气体,例如专利文献1公开了由六氟丁烯构成的蚀刻气体。另外,专利文献2公开了含有六氟丁烯和六氟丁炔的蚀刻气体。
现有技术文献
专利文献
专利文献1:日本专利公报第6257638号
专利文献2:日本专利公报第6462699号
发明内容
但是,使用专利文献1、2公开的蚀刻气体进行蚀刻时,蚀刻选择性并不充分。
本发明的课题是提供一种蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法,在使蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触而进行蚀刻的情况下,能够与非蚀刻对象物相比选择性地对蚀刻对象物进行蚀刻,所述蚀刻对象物属于由蚀刻气体蚀刻的对象,所述非蚀刻对象物不属于由蚀刻气体蚀刻的对象。
为了解决前述课题,本发明的一方案如以下的[1]~[11]所述。
[1]一种蚀刻气体,含有氟丁烯,所述氟丁烯由通式C4HxFy表示,所述通式中的x为1以上且7以下、y为1以上且7以下并且x+y为8,
所述蚀刻气体含有碳酰氟(carbonyl fluoride)作为杂质,碳酰氟的浓度为100质量ppm以下。
[2]根据[1]所述的蚀刻气体,还含有氟化氢作为杂质,氟化氢的浓度为100质量ppm以下。
[3]一种蚀刻气体的制造方法,是制造[1]或[2]所述的蚀刻气体的方法,具备:
对粗氟丁烯实施脱水处理的脱水工序;以及
对所述粗氟丁烯实施脱氧气处理的脱氧气工序,
所述粗氟丁烯是含有水和氧气的所述氟丁烯。
[4]根据[3]所述的蚀刻气体的制造方法,在进行所述脱水工序之后进行所述脱氧气工序。
[5]根据[3]或[4]所述的蚀刻气体的制造方法,所述脱水处理是使所述粗氟丁烯与吸附剂接触而使所述吸附剂吸附水的处理。
[6]根据[3]~[5]中任一项所述的蚀刻气体的制造方法,还具备将实施了所述脱水工序和所述脱氧气工序的所述氟丁烯填充到填充容器中的填充工序。
[7]一种蚀刻方法,具备蚀刻工序,在所述蚀刻工序中使[1]或[2]所述的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与所述非蚀刻对象物相比选择性地蚀刻所述蚀刻对象物,所述蚀刻对象物属于由所述蚀刻气体蚀刻的对象,所述非蚀刻对象物不属于由所述蚀刻气体蚀刻的对象,所述蚀刻对象物含有硅。
[8]根据[7]所述的蚀刻方法,所述蚀刻气体被填充到填充容器中,所述填充容器内的气相部中碳酰氟的浓度为100质量ppm以下,在所述蚀刻工序中,从所述填充容器中提取所述气相部,使其与所述被蚀刻构件接触,对所述蚀刻对象物进行蚀刻。
[9]根据[7]或[8]所述的蚀刻方法,所述蚀刻气体是仅由所述氟丁烯构成的气体、或者是含有所述氟丁烯和稀释气体的混合气体。
[10]根据[9]所述的蚀刻方法,所述稀释气体是选自氮气、氦气、氩气、氖气、氪气和氙气中的至少一种。
[11]一种半导体元件的制造方法,使用[7]~[10]中任一项所述的蚀刻方法制造半导体元件,
所述被蚀刻构件是具有所述蚀刻对象物和所述非蚀刻对象物的半导体基板,
所述制造方法具备通过所述蚀刻从所述半导体基板除去所述蚀刻对象物的至少一部分的处理工序。
根据本发明,能够与非蚀刻对象物相比选择性地蚀刻含有硅的蚀刻对象物。
附图说明
图1是说明本发明的蚀刻方法的一实施方式的蚀刻装置一例的概略图。
具体实施方式
以下,对本发明的一实施方式进行说明。再者,本实施方式示出本发明的一例,本发明并不限定于本实施方式。另外,可以对本实施方式施加各种变更或改良,施加了那样的变更或改良后的方式也包含在本发明中。
本实施方式的蚀刻气体是含有氟丁烯的蚀刻气体,所述氟丁烯由通式C4HxFy表示,所述通式中的x为1以上且7以下、y为1以上且7以下并且x+y为8,所述蚀刻气体含有碳酰氟(COF2)作为杂质,碳酰氟的浓度为100质量ppm以下。
本实施方式的蚀刻方法,具备蚀刻工序,所述蚀刻工序中,使上述本实施方式的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与非蚀刻对象物相比选择性地对蚀刻对象物进行蚀刻,蚀刻对象物属于由蚀刻气体蚀刻的对象,非蚀刻对象物不属于由蚀刻气体蚀刻的对象。并且,在本实施方式的蚀刻方法中,蚀刻对象物含有硅(Si)。
使蚀刻气体与被蚀刻构件接触时,含有硅的蚀刻对象物与蚀刻气体中的上述氟丁烯反应,因此进行蚀刻对象物的蚀刻。与此相对,掩模等非蚀刻对象物几乎不与上述氟丁烯反应,因此几乎不进行非蚀刻对象物的蚀刻。因此,根据本实施方式的蚀刻方法,能够与非蚀刻对象物相比选择性地对蚀刻对象物进行蚀刻(即可得到高的蚀刻选择性)。
进而,上述氟丁烯在干蚀刻中反应而聚合物化,通过该聚合物的膜覆盖非蚀刻对象物,保护其不被蚀刻。因此,由于非蚀刻对象物的蚀刻更加难以进行,所以只要能够使用含有上述氟丁烯的蚀刻气体进行蚀刻,蚀刻选择性就进一步提高。
不过,碳酰氟对于氧化硅、氮化硅等蚀刻对象物和掩模等非蚀刻对象物这两者的反应性都高。因此,使用含有碳酰氟的蚀刻气体进行被蚀刻构件的蚀刻时,蚀刻对象物和非蚀刻对象物两者都被蚀刻,蚀刻选择性恐怕会不足。因此,为了提高蚀刻选择性,需要降低蚀刻气体中的碳酰氟浓度。
即,蚀刻气体中的碳酰氟浓度需要为100质量ppm以下,优选为50质量ppm以下,更优选为10质量ppm以下。若能够使用碳酰氟浓度在上述范围内的蚀刻气体进行蚀刻,则非蚀刻对象物不易被蚀刻,所以蚀刻对象物相对于非蚀刻对象物的蚀刻选择性变高。碳酰氟浓度的测定方法没有特别限定,例如可以通过红外分光法定量。
另外,氟化氢(HF)也具有与碳酰氟同样的作用,对于氧化硅、氮化硅等蚀刻对象物和掩模等非蚀刻对象物这两者的反应性都高,因此优选蚀刻气体中的氟化氢浓度低。即,在蚀刻气体还含有氟化氢作为杂质的情况下,蚀刻气体中的氟化氢浓度优选为100质量ppm以下,更优选为50质量ppm以下,进一步优选为10质量ppm以下。氟化氢浓度的测定方法没有特别限定,例如可以通过红外分光法定量。
如上所述,本实施方式的蚀刻气体的碳酰氟浓度低,因此若能够使用本实施方式的蚀刻气体进行被蚀刻构件的干蚀刻,则非蚀刻对象物不易被蚀刻,能够与非蚀刻对象物相比选择性地对蚀刻对象物进行蚀刻,蚀刻选择性变高。
例如,蚀刻对象物的蚀刻速度相对于非蚀刻对象物的蚀刻速度之比即蚀刻选择比容易达到10以上。蚀刻选择比优选为10以上,更优选为30以上,进一步优选为50以上。
碳酰氟和氟化氢是来自上述氟丁烯的杂质。以下,对上述氟丁烯中容易含有碳酰氟、氟化氢作为杂质的理由进行说明。
上述氟丁烯大多含有少量的氧气(O2)和水(H2O),但如果氟丁烯中的氧浓度超过1000质量ppm,则如下式所示,氟丁烯与氧气发生反应,生成碳酰氟和氟化氢。而且,如果在氟丁烯中存在水,则促进下式的反应。
C4HxFy+(4-(y-x)/4)O2→(y-x)/2COF2+xHF+(4-(y-x)/2)CO2
如上所述,通过上述氟丁烯与氧气反应,生成碳酰氟和氟化氢。因此,在制造含有上述氟丁烯的本实施方式的蚀刻气体的情况下,为了降低碳酰氟和氟化氢的浓度,需要从上述氟丁烯中除去水和氧气。
即,本实施方式的蚀刻气体的制造方法具备:对含有水和氧气的上述氟丁烯即粗氟丁烯实施脱水处理的脱水工序;以及对粗氟丁烯实施脱氧气处理的脱氧气工序。实施脱水工序和脱氧气工序的顺序没有特别限定,先进行哪一个工序都可以。另外,如果可能,也可以同时进行脱水工序和脱氧气工序。
再者,本发明中的蚀刻是指除去被蚀刻构件具有的蚀刻对象物的一部分或全部,将被蚀刻构件加工成预定形状(例如三维形状)(例如,将被蚀刻构件具有的、由硅化合物构成的膜状蚀刻对象物加工成预定膜厚)。
本实施方式的蚀刻方法,可以用于半导体元件的制造。即,本实施方式的半导体元件的制造方法是使用本实施方式的蚀刻方法制造半导体元件的半导体元件的制造方法,被蚀刻构件是具有蚀刻对象物和非蚀刻对象物的半导体基板,制造方法具备通过蚀刻从半导体基板除去蚀刻对象物的至少一部分的处理工序。
本实施方式的蚀刻方法能够精度良好地对蚀刻对象物进行蚀刻,因此能够用于例如3D-NAND型闪存、逻辑器件等半导体元件的制造。另外,在本实施方式的蚀刻方法中,能够期待对半导体元件的进一步微细化和高集成化的贡献。
以下,对本实施方式的蚀刻气体、蚀刻气体的制造方法、蚀刻方法以及半导体元件的制造方法更详细地说明。
[氟丁烯]
本实施方式的蚀刻气体所含有的氟丁烯,由通式C4HxFy表示,并且满足通式中的x为1以上且7以下、y为1以上且7以下和x+y为8这3个条件。氟丁烯的种类只要满足上述条件就没有特别限定,可以使用直链状的氟丁烯,也可以使用支链状的氟丁烯(异丁烯),可以优选使用类似于氟-1-丁烯的物质和类似于氟-2-丁烯的物质。
作为氟-1-丁烯的具体例,可举出CHF2-CF2-CF=CF2、CF3-CF2-CF=CHF、CF3-CHF-CF=CF2、CF3-CF2-CH=CF2、CHF2-CHF-CF=CF2、CHF2-CF2-CF=CHF、CF3-CHF-CF=CHF、CF3-CF2-CH=CHF、CF3-CHF-CH=CF2、CHF2-CF2-CH=CF2、CH3-CF2-CF=CF2、CH2F-CHF-CF=CF2、CH2F-CF2-CH=CF2、CH2F-CF2-CF=CHF、CHF2-CH2-CF=CF2、CHF2-CHF-CH=CF2、CHF2-CHF-CF=CHF、CHF2-CF2-CH=CHF、CHF2-CF2-CF=CH2、CF3-CH2-CH=CF2、CF3-CH2-CF=CHF、CF3-CHF-CH=CHF、CF3-CHF-CF=CH2、CF3-CF2-CH=CH2、CH3-CHF-CF=CF2、CH3-CF2-CH=CF2、CH3-CF2-CF=CHF、CH2F-CH2-CF=CF2、CH2F-CHF-CH=CF2、CH2F-CHF-CF=CHF、CH2F-CF2-CH=CHF、CH2F-CF2-CF=CH2、CHF2-CH2-CH=CF2、CHF2-CH2-CF=CHF、CHF2-CHF-CH=CHF、CHF2-CHF-CF=CH2、CHF2-CF2-CH=CH2、CF3-CH2-CH=CHF、CF3-CH2-CF=CH2、CF3-CHF-CH=CH2、CH3-CH2-CF=CF2、CH3-CHF-CH=CF2、CH3-CHF-CF=CHF、CH3-CF2-CH=CHF、CH3-CF2-CF=CH2、CH2F-CH2-CH=CF2、CH2F-CH2-CF=CHF、CH2F-CHF-CH=CHF、CH2F-CHF-CF=CH2、CH2F-CF2-CH=CH2、CHF2-CH2-CH=CHF、CHF2-CH2-CF=CH2、CHF2-CHF-CH=CH2、CF3-CH2-CH=CH2、CH3-CH2-CH=CF2、CH3-CH2-CF=CHF、CH3-CHF-CH=CHF、CH3-CHF-CF=CH2、CH3-CF2-CH=CH2、CH2F-CH2-CH=CHF、CH2F-CH2-CF=CH2、CH2F-CHF-CH=CH2、CHF2-CH2-CH=CH2、CH3-CH2-CH=CHF、CH3-CH2-CF=CH2、CH3-CHF-CH=CH2、CH2F-CH2-CH=CH2
作为氟-2-丁烯的具体例,可举出CHF2-CF=CF-CF3、CF3-CH=CF-CF3、CH2F-CF=CF-CF3、CHF2-CH=CF-CF3、CHF2-CF=CF-CHF2、CF3-CH=CH-CF3、CH3-CF=CF-CF3、CH2F-CH=CF-CF3、CH2F-CF=CH-CF3、CH2F-CF=CF-CHF2、CHF2-CH=CH-CF3、CHF2-CF=CH-CHF2、CH3-CH=CF-CF3、CH3-CF=CH-CF3、CH3-CF=CF-CHF2、CH2F-CH=CH-CF3、CH2F-CH=CF-CHF2、CH2F-CF=CH-CHF2、CH2F-CF=CF-CH2F、CHF2-CH=CH-CHF2、CH3-CH=CH-CF3、CH3-CH=CF-CHF2、CH3-CF=CH-CHF2、CH3-CF=CF-CH2F、CH2F-CF=CH-CH2F、CH2F-CH=CH-CHF2、CH3-CH=CH-CHF2、CH3-CH=CF-CH2F、CH3-CF=CH-CH2F、CH3-CF=CF-CH3、CH2F-CH=CH-CH2F、CH3-CH=CH-CH2F、CH3-CH=CF-CH3
这些氟丁烯可以单独使用1种,也可以并用2种以上。另外,在上述氟丁烯的一部分存在顺式-反式异构体,在本实施方式的蚀刻气体中也可以使用顺式、反式的任一种氟丁烯。
上述氟丁烯中,优选在1个大气压下的沸点为50℃以下的物质,更优选为40℃以下的物质。沸点若在上述范围内,则在将氟丁烯的气体导入例如等离子体蚀刻装置时,氟丁烯气体在导入氟丁烯气体的配管等的内部不易液化。因此,能够抑制由氟丁烯气体的液化引起的障碍的产生,因此能够高效地进行等离子体蚀刻处理。
[蚀刻气体]
蚀刻气体是含有上述氟丁烯的气体。蚀刻气体可以是仅由上述氟丁烯构成的气体,也可以是含有上述氟丁烯和稀释气体的混合气体。稀释气体优选对于氟丁烯和被蚀刻构件呈惰性的气体。另外,也可以是含有上述氟丁烯、稀释气体和添加气体的混合气体。
作为稀释气体,优选惰性气体,具体而言,可以使用选自氮气(N2)、氦气(He)、氖气(Ne)、氩气(Ar)、氪气(Kr)和氙气(Xe)中的至少一种。
作为添加气体,例如可以使用碳氟化合物的气体、氢氟碳化合物的气体。作为氟碳化合物的具体例,可举出四氟化碳(CF4)、六氟甲烷(C2F6)、八氟丙烷(C3F8)。作为氢氟碳化合物的具体例,可举出CF3H、CF2H2、CFH3、C2F4H2、C2F5H、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5。这些添加气体可以单独使用1种,也可以并用2种以上。
稀释气体的含量相对于蚀刻气体的总量优选为90体积%以下,更优选为50体积%以下。另外,添加气体的含量相对于蚀刻气体的总量优选为50体积%以下,更优选为30体积%以下。
从提高蚀刻速度的观点出发,上述氟丁烯的含量相对于蚀刻气体的总量优选为5体积%以上,更优选为10体积%以上。另外,从抑制上述氟丁烯的使用量的观点出发,相对于蚀刻气体的总量,优选为90体积%以下,更优选为80体积%以下。
[蚀刻气体的制造方法]
如前所述,本实施方式的蚀刻气体的制造方法包含:对含有水和氧气的上述氟丁烯即粗氟丁烯实施脱水处理的脱水工序、以及对粗氟丁烯实施脱氧气处理的脱氧气工序。
从粗氟丁烯中除去水的脱水处理的方法没有特别限定,可以采用公知的方法。例如,可以采用使粗氟丁烯与吸附剂接触的处理。可以通过使粗氟丁烯与吸附剂接触使吸附剂吸附水,而进行脱水处理。再者,通过使粗氟丁烯与吸附剂接触,也有时与水一起从粗氟丁烯中除去碳酰氟和氟化氢中的至少一者。
吸附剂的种类只要能够从上述氟丁烯中除去水就没有特别限定,例如可举出3A分子筛、4A分子筛、5A分子筛、活性炭、硅胶等。在这些例示的吸附剂中,更优选能够选择性地吸附水的3A分子筛。
再者,作为除去碳酰氟的吸附剂,可以使用上述各种分子筛。另外,作为除去氟化氢的吸附剂,可以使用上述各种分子筛、氟化钠等金属氟化物。
在使粗氟丁烯与吸附剂接触时,可以接触气体状氟丁烯,也可以接触液体状氟丁烯。另外,粗氟丁烯与吸附剂的接触可以用流通式进行,也可以用间歇式进行。不过,为了尽力降低上述氟丁烯中的水分浓度,更优选采用以间歇式使液体状氟丁烯与吸附剂接触24小时以上的方法。
通过脱水处理,使上述氟丁烯中的水分浓度优选为500质量ppm以下,更优选为100质量ppm以下,进一步优选为10质量ppm以下。这样,变得不易产生上述式的反应引起的碳酰氟和氟化氢生成。
上述氟丁烯中的水分浓度的测定方法没有特别限定,例如可以采用卡尔-费休法定量。
从粗氟丁烯中除去氧气的脱氧气处理的方法没有特别限定,例如可以采用蒸馏粗氟丁烯将氧气分离的处理。再者,通过蒸馏粗氟丁烯,也有时会从粗氟丁烯中与氧气一起除去碳酰氟和氟化氢中的至少一者。
蒸馏方法只要能够从粗氟丁烯中分离氧气就没有特别限定,例如可以采用间歇式蒸馏法、连续蒸馏法。用于蒸馏的蒸馏塔形式没有特别限定,例如,可以使用使用筛板、泡罩托盘等的板塔、或填充有规则填充物、不规则填充物的填充塔。
蒸馏条件没有特别限定,理论层数优选为1层以上且30层以下,更优选为3层以上且10层以下。
进行蒸馏时,加入粗氟丁烯的蒸馏塔的塔底(釜)的温度没有特别限定,优选为10℃以上且80℃以下,更优选为20℃以上且60℃以下。
对蒸馏塔的塔顶温度没有特别限定,优选为-60℃以上且0℃以下,更优选为-50℃以上且-20℃以下。
通过脱氧气处理,使上述氟丁烯中的氧浓度优选为1000质量ppm以下,更优选为500质量ppm以下,进一步优选为100质量ppm以下。这样,变得不易产生上述式的反应引起的碳酰氟和氟化氢生成。
上述氟丁烯中的氧浓度的测定方法没有特别限定,例如可以采用气相色谱法定量。
再者,本实施方式的蚀刻气体的制造方法可以还具备填充工序,该填充工序将实施脱水工序和脱氧气工序从而氧气和水的含量降低了的上述氟丁烯填充到填充容器中。
在蚀刻气体是含有上述氟丁烯和稀释气体的混合气体的情况下,可以在将上述氟丁烯和稀释气体混合而形成混合气体后,将该混合气体填充到填充容器中,也可以将上述氟丁烯和稀释气体分别独立地填充到填充容器中,在填充容器内形成混合气体。
将上述氟丁烯填充到填充容器内的方法没有特别限定,例如可以采用气相填充法、液相填充法。另外,在填充氟丁烯时,也可以通过加热抽真空法等预先除去填充容器内的氧气。
填充容器的材质没有特别限定,例如可举出锰钢、不锈钢、HASTELLOY(注册商标)、INCONEL(注册商标)。
[蚀刻方法]
本实施方式的蚀刻可以通过使用等离子体的等离子体蚀刻、不使用等离子体的无等离子体蚀刻中的任一种来实现。作为等离子体蚀刻,例如可举出反应性离子蚀刻(RIE:Reactive Ion Etching)、电感耦合型等离子体(ICP:Inductively Coupled Plasma)蚀刻、电容耦合型等离子体(CCP:Capacitively Coupled Plasma)蚀刻、电子回旋共振(ECR:Electron Cyclotron Resonance)等离子体蚀刻、微波等离子蚀刻。
另外,在等离子体蚀刻中,等离子体可以在设置有被蚀刻构件的腔室内产生,也可以将等离子体产生室和设置被蚀刻构件的腔室分开(即也可以使用远程等离子体)。通过使用远程等离子体的蚀刻能够以更高的选择性对含有硅的蚀刻对象物进行蚀刻。
此外,在本实施方式的蚀刻方法中,也可以使用填充到填充容器中的蚀刻气体进行蚀刻。即,蚀刻气体被填充到填充容器中,填充容器内的气相部的碳酰氟浓度为100质量ppm以下,在蚀刻工序中,也可以从填充容器中提取气相部,使其与被蚀刻构件接触而对蚀刻对象物进行蚀刻。
[蚀刻工序的压力条件]
本实施方式的蚀刻方法中的蚀刻工序的压力条件没有特别限定,优选为10Pa以下,更优选为5Pa以下。压力条件若在上述范围内,则容易稳定地产生等离子体。另一方面,蚀刻工序的压力条件优选为0.05Pa以上。压力条件若在上述范围内,则容易产生许多电离离子而得到充分的等离子体密度。
蚀刻气体的流量可以根据腔室的大小和对腔室内进行减压的排气设备的能力适当设定,以使得腔室内的压力保持恒定。
[蚀刻工序的温度条件]
本实施方式的蚀刻方法中的蚀刻工序的温度条件没有特别限定,为了得到高的蚀刻选择性,优选为200℃以下,为了进一步抑制掩模等非蚀刻对象物被蚀刻,更优选为150℃以下,为了进行各向异性蚀刻,进一步优选为100℃以下。在此,温度条件的温度是指被蚀刻构件的温度,但也可以使用设置在蚀刻装置的腔室内的、支持被蚀刻构件的载物台的温度。
上述氟丁烯在200℃以下的温度下几乎不与掩模等非蚀刻对象物反应。因此,若采用本实施方式的蚀刻方法对被蚀刻构件进行蚀刻,则几乎不会对非蚀刻对象物进行蚀刻,能够选择性地对蚀刻对象物进行蚀刻。因此,本实施方式的蚀刻方法能够用于将图案化的非蚀刻对象物用作抗蚀剂或掩模,将含有硅的蚀刻对象物加工成预定形状的方法等。
此外,蚀刻对象物和非蚀刻对象物的温度若为200℃以下,则蚀刻选择性容易变高。例如,含有硅的蚀刻对象物的蚀刻速度相对于非蚀刻对象物的蚀刻速度之比即蚀刻选择比容易达到10以上。
关于构成蚀刻时产生的等离子体与被蚀刻构件之间的电位差的偏置功率,根据所希望的蚀刻形状从0~10000W选择即可,在选择性地蚀刻的情况下,优选0~1000W左右。通过该电位差,能够进行各向异性蚀刻。
[被蚀刻构件]
采用本实施方式的蚀刻方法蚀刻的被蚀刻构件,具有蚀刻对象物和非蚀刻对象物,但也可以是具有由蚀刻对象物形成的部分和由非蚀刻对象物形成的部分的构件,还可以是由蚀刻对象物和非蚀刻对象物的混合物形成的构件。另外,被蚀刻构件也可以具有蚀刻对象物、非蚀刻对象物以外的物体。
另外,被蚀刻构件的形状没有特别限定,例如可以是板状、箔状、膜状、粉末状、块状。作为被蚀刻构件的例子,可举出前述半导体基板。
[蚀刻对象物]
蚀刻对象物可以仅由含有硅的材料形成,也可以具有仅由含有硅的材料形成的部分和由其他材质形成的部分,还可以由含有硅的材料和其他材质的混合物形成。作为含有硅的材料,例如可举出氧化硅、氮化硅、多晶硅、硅锗(SiGe)。
作为氧化硅的例子,可举出二氧化硅(SiO2)。另外,氮化硅是指以任意比例含有硅和氮的化合物,作为例子可以举出Si3N4。氮化硅的纯度没有特别限定,优选为30质量%以上,更优选为60质量%以上,进一步优选为90质量%以上。
另外,蚀刻对象物的形状没有特别限定,例如可以是板状、箔状、膜状、粉末状、块状。
[非蚀刻对象物]
非蚀刻对象物实质上不与上述氟丁烯反应,或者与上述氟丁烯的反应极慢,因此即使采用本实施方式的蚀刻方法蚀刻,蚀刻也几乎不进行。非蚀刻对象物只要具有上述性质就没有特别限定,例如可举出光致抗蚀剂、无定形碳(C)、氮化钛(TiN)、铜(Cu)、镍(Ni)、钴(Co)等金属、这些金属的氧化物、氮化物。其中,从处理性和易获得性的观点出发,更优选光致抗蚀剂、无定形碳。
光致抗蚀剂是指以溶解性为代表的物性因光和电子束等而变化的感光性组合物。例如,可举出g射线用、h射线用、i射线用、KrF用、ArF用、F2用、EUV用等的光致抗蚀剂。光致抗蚀剂的组成只要是在半导体制造工序中通常使用的就没有特别限定,例如可举出含有由选自链状烯烃、环状烯烃、苯乙烯、乙烯基苯酚、丙烯酸、甲基丙烯酸酯、环氧树脂、三聚氰胺和二醇中的至少一种单体合成的聚合物的组合物。
另外,非蚀刻对象物可以作为用于抑制蚀刻气体对蚀刻对象物的蚀刻的抗蚀剂或掩模使用。因此,本实施方式的蚀刻方法可以利用于以下方法:利用经图案化的非蚀刻对象物作为抗蚀剂或掩模,将蚀刻对象物加工成预定形状(例如将被蚀刻构件具有的膜状蚀刻对象物加工成预定膜厚)等,因此,能够合适地用于制造半导体元件。另外,由于非蚀刻对象物几乎未被蚀刻,所以能够抑制半导体元件中本来不应该被蚀刻的部分被蚀刻的情况,能够防止半导体元件的特性因蚀刻而丧失。
再者,图案化后残留的非蚀刻对象物可以采用半导体元件制造工序中通常使用的除去方法除去。例如,可举出使用氧等离子体或臭氧等氧化性气体的灰化、使用APM(氨水和过氧化氢水的混合液)、SPM(硫酸和过氧化氢水的混合液)或有机溶剂等药液的溶解除去。
接着,参照图1,对能够实施本实施方式的蚀刻方法的蚀刻装置的结构一例和使用了该蚀刻装置的蚀刻方法一例进行说明。图1的蚀刻装置是使用等离子体进行蚀刻的等离子体蚀刻装置。首先,对图1的蚀刻装置进行说明。
图1的蚀刻装置具备:在内部进行蚀刻的腔室10、在腔室10的内部生成等离子体的等离子体发生装置(未图示)、在腔室10的内部支持将要蚀刻的被蚀刻构件12的载物台11、测定被蚀刻构件12的温度的温度计14、用于排出腔室10内部的气体的排气用配管13、设在排气用配管13上且对腔室10内部进行减压的真空泵15、以及测定腔室10内部的压力的压力计16。可以对载物台11赋予若将载物台11与高频电源连接则对被蚀刻构件12施加高频的功能。
等离子体发生装置的等离子体生成机构的种类没有特别限定,可以对平行板施加高频电压,也可以对线圈流通高频电流。在等离子体中对被蚀刻构件12施加高频电压时,对被蚀刻构件12施加负电压,正离子高速且垂直地入射到被蚀刻构件12上,因此能够进行各向异性蚀刻。
另外,图1的蚀刻装置具备蚀刻气体供给部,其向腔室10的内部供给蚀刻气体。该蚀刻气体供给部具有:供给氟丁烯气体的氟丁烯气体供给部1、供给稀释气体的稀释气体供给部2、连接氟丁烯气体供给部1和腔室10的氟丁烯气体供给用配管5、以及在氟丁烯气体供给用配管5的中间部连接稀释气体供给部2的稀释气体供给用配管6。
而且,在氟丁烯气体供给用配管5上设有控制氟丁烯气体的压力的氟丁烯气体压力控制装置7和控制氟丁烯气体的流量的氟丁烯气体流量控制装置3。而且,在稀释气体供给用配管6上设有控制稀释气体压力的稀释气体压力控制装置8和控制稀释气体流量的稀释气体流量控制装置4。再者,也可以按与稀释气体供给部2、稀释气体流量控制装置4、稀释气体供给用配管6、稀释气体压力控制装置8同样的方式,一并设置供给添加气体的设备(未图示)。
并且,在向腔室10供给氟丁烯气体作为蚀刻气体的情况下,在利用真空泵15对腔室10的内部进行减压的基础上,通过从氟丁烯气体供给部1向氟丁烯气体供给用配管5送出氟丁烯气体,来经由氟丁烯气体供给用配管5向腔室10供给氟丁烯气体。
另外,在供给氟丁烯气体与惰性气体等稀释气体的混合气体作为蚀刻气体的情况下,在用真空泵15对腔室10的内部进行减压的基础上,从氟丁烯气体供给部1向氟丁烯气体供给用配管5送出氟丁烯气体,同时从稀释气体供给部2经由稀释气体供给用配管6向氟丁烯气体供给用配管5送出稀释气体。由此,在氟丁烯气体供给用配管5的中间部,氟丁烯气体与稀释气体被混合而成为混合气体,该混合气体经由氟丁烯气体供给用配管5供给到腔室10。不过,也可以将氟丁烯气体和稀释气体分别独立地供给到腔室10中,在腔室10内形成混合气体。
再者,氟丁烯气体供给部1和稀释气体供给部2的构成没有特别限定,例如也可以是气瓶或气缸(cylinder)等。另外,作为氟丁烯气体流量控制装置3和稀释气体流量控制装置4,例如可以利用质量流量控制器或流量计等。
向腔室10供给蚀刻气体时,优选将蚀刻气体的供给压力(即图1中的氟丁烯气体压力控制装置7的值)保持在预定值的状态下进行供给。即,蚀刻气体的供给压力优选为1Pa以上且0.2MPa以下,更优选为10Pa以上且0.1MPa以下,进一步优选为50Pa以上且50kPa以下。蚀刻气体的供给压力若在上述范围内,则能够顺利地向腔室10供给蚀刻气体,并且对图1的蚀刻装置具有的部件(例如所述各种装置和所述配管)的负荷小。
另外,从均匀地蚀刻被蚀刻构件12的表面的观点出发,供给到腔室10内的蚀刻气体的压力优选为1Pa以上且80kPa以下,更优选为10Pa以上且50kPa以下,进一步优选为100Pa以上且20kPa以下。腔室10内的蚀刻气体的压力若在上述范围内,则可得到足够的蚀刻速度,并且蚀刻选择比容易提高。
供给蚀刻气体以前的腔室10内的压力只要是蚀刻气体的供给压力以下、或比蚀刻气体的供给压力低的压力,就没有特别限定,例如优选为10-5Pa以上且小于10kPa,更优选为1Pa以上且2kPa以下。
蚀刻气体的供给压力与供给蚀刻气体以前的腔室10内的压力的压差优选为0.5MPa以下,更优选为0.3MPa以下,进一步优选为0.1MPa以下。压差若在上述范围内,则容易顺利地向腔室10供给蚀刻气体。
向腔室10供给蚀刻气体时,优选将蚀刻气体的温度保持在预定值的状态下进行供给。即,蚀刻气体的供给温度优选为0℃以上且150℃以下。
蚀刻的处理时间(以下有时也记为"蚀刻时间")可以根据想要将被蚀刻构件12具有的蚀刻对象物蚀刻到何种程度而任意设定,但考虑到半导体元件制造工艺的生产效率,优选为60分钟以内,更优选为40分钟以内,进一步优选为20分钟以内。再者,蚀刻的处理时间是指在腔室10的内部,使蚀刻气体与被蚀刻构件12接触的时间。
本实施方式的蚀刻方法可以使用图1的蚀刻装置那样的半导体元件制造工序中使用的一般等离子体蚀刻装置来进行,可以使用的蚀刻装置的构成没有特别限定。
例如,氟丁烯气体供给用配管5与被蚀刻构件12的位置关系,只要能够使蚀刻气体与被蚀刻构件12接触就没有特别限定。另外,关于腔室10的温度调节机构的构成,只要能够将被蚀刻构件12的温度调节为任意温度即可,因此可以是在载物台11上直接具备被蚀刻构件12的温度调节机构的构成,也可以用外置的温度调节器从腔室10的外侧对腔室10进行加热或冷却。
另外,图1的蚀刻装置的材质只要对使用的氟丁烯具有耐腐蚀性,并且能够减压到预定压力,就没有特别限定。例如,与蚀刻气体接触的部分可以使用镍、镍基合金、铝、不锈钢、铂、铜、钴等金属、氧化铝(Al2O3)等陶瓷、氟树脂等。
作为镍基合金的具体例,可以举出INCONEL(注册商标)、HASTELLOY(注册商标)、MONEL(注册商标)等。另外,作为氟树脂,例如可举出聚四氟乙烯(PTFE)、聚三氟氯乙烯(PCTFE)、四氟化乙烯-全氟烷氧基乙烯共聚物(PFA)、聚偏二氟乙烯(PVDF)、特氟隆(注册商标)、Viton(注册商标)、Kalrez(注册商标)等。
实施例
以下示出实施例和比较例,更具体地说明本发明。制备以各种浓度含有作为杂质的碳酰氟和氟化氢的氟丁烯。以下说明氟丁烯的制备例。
(制备例1)
准备填充到容量10L的锰钢制容器中的1,1,1,4,4,4-六氟-2-丁烯。
从锰钢制容器中提取1,1,1,4,4,4-六氟-2-丁烯的气相部,测定氧浓度,结果为1103质量ppm。另外,从锰钢制容器中提取1,1,1,4,4,4-六氟-2-丁烯的液相部,测定水分浓度,结果为384质量ppm。
再者,氧浓度使用株式会社岛津制作所制的气相色谱仪GC-2014测定。另外,水分浓度使用株式会社三菱化学分析技术的卡尔-费休水分测定装置CA-310测定。
接着,对上述1,1,1,4,4,4-六氟-2-丁烯如下所示地实施脱水处理。在不锈钢SUS316制的容量1L的气缸中填充100mL的联盟昭和株式会社制的3A分子筛并密闭。一边加热该气缸一边对其内部减压后,冷却至-78℃。将500g的1,1,1,4,4,4-六氟-2-丁烯从锰钢制容器转移到冷却后的气缸中,使1,1,1,4,4,4-六氟-2-丁烯与3A分子筛接触,进行脱水处理。
将该气缸的温度恢复到室温后,在控制为20℃的房间中静置24小时。然后,从静置后的气缸中提取液相部,测定1,1,1,4,4,4-六氟-2-丁烯的水分浓度,结果为2质量ppm。水分浓度的测定方法与上述相同。
接着,对如上所述地实施了脱水处理的1,1,1,4,4,4-六氟-2-丁烯,使用具备SUS制蒸馏塔、容量5L的釜、冷凝器、馏分的接收器等的精制装置,如下所述地实施脱氧气处理。将如上所述地实施了脱水处理的1,1,1,4,4,4-六氟-2-丁烯500g装入釜中,将釜加热至30℃进行蒸馏。所使用的SUS制蒸馏塔填充有Sulzer Chemtech公司制的实验室填料,理论层数为10层。另外,将冷凝器的温度设定为-40℃。
蒸馏塔的塔顶部达到了-40℃时,将50g馏分提取到接收器中。然后,停止蒸馏,提取残存在釜中的1,1,1,4,4,4-六氟-2-丁烯的气相部,测定氧浓度,结果为4质量ppm。氧浓度的测定方法与上述相同。
接着,准备容量1L的SUS316制气缸(以下记为"气缸A")。在该气缸A中,以气相填充的方式填充400g脱氧气处理结束后的精制装置的釜中残存的1,1,1,4,4,4-六氟-2-丁烯(填充工序)。然后,将该气缸A在控制为20℃的房间中静置30天。将静置30天后的气缸A内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-1。
从气缸A提取样品1-1的1,1,1,4,4,4-六氟-2-丁烯的气相部,测定碳酰氟的浓度,结果碳酰氟的浓度小于10质量ppm。再者,碳酰氟的浓度使用Thermo Fisher Scientific株式会社制的红外分光光度计Nicolet iS10测定。
接着,准备3个容量500mL的SUS316制气缸(以下记为"气缸B"、"气缸C"、"气缸D")。从锰钢制容器将100g的1,1,1,4,4,4-六氟-2-丁烯分别转移到这些气缸B、C、D中(填充工序)。然后,在控制为20℃的房间中,将气缸B静置10天,将气缸C静置20天,将气缸D静置30天。
将静置后的气缸B内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-2、将静置后的气缸C内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-3、并将静置后的气缸D的1,1,1,4,4,4-六氟-2-丁烯作为样品1-4。
从气缸B提取样品1-2的1,1,1,4,4,4-六氟-2-丁烯的气相部,测定碳酰氟的浓度,结果碳酰氟的浓度为211质量ppm。
同样地,从气缸C提取样品1-3的1,1,1,4,4,4-六氟-2-丁烯的气相部,测定碳酰氟和氟化氢的浓度,结果碳酰氟的浓度为408质量ppm,氟化氢的浓度为392质量ppm。再者,氟化氢的浓度使用Thermo Fisher Scientific株式会社制的红外分光光度计Nicolet iS10测定。
同样地,从气缸D提取样品1-4的1,1,1,4,4,4-六氟-2-丁烯的气相部,测定碳酰氟的浓度,结果碳酰氟的浓度为589质量ppm。
(制备例2)
准备填充到容量10L的锰钢制容器中的1,1,1,2,4,4,4-七氟-2-丁烯。从锰钢制容器中提取1,1,1,2,4,4,4-七氟-2-丁烯的气相部,测定氧浓度,结果为1225质量ppm。另外,从锰钢制容器中提取1,1,1,2,4,4,4-七氟-2-丁烯的液相部,测定水分浓度,结果为382质量ppm。水分浓度和氧浓度的测定方法与上述相同。
使用上述1,1,1,2,4,4,4-七氟-2-丁烯作为氟丁烯,除此以外进行与制备例1同样的操作,制备样品2-1~2-4。然后,测定各个样品的碳酰氟和样品2-3的氟化氢的浓度。测定方法与上述相同。
样品2-1的碳酰氟浓度小于10质量ppm。
样品2-2的碳酰氟浓度为268质量ppm。
样品2-3的碳酰氟浓度为478质量ppm,氟化氢浓度为155质量ppm。
样品2-4的碳酰氟浓度为653质量ppm。
(制备例3)
准备填充到容量10L的锰钢制容器中的2,3,3,4,4,4-六氟-1-丁烯。从锰钢制容器中提取2,3,3,4,4,4-六氟-1-丁烯的气相部,测定氧浓度,结果为1313质量ppm。另外,从锰钢制容器中取出2,3,3,4,4,4-六氟-1-丁烯的液相部,测定水分浓度,结果为411质量ppm。水分浓度和氧浓度的测定方法与上述相同。
使用上述2,3,3,4,4,4-六氟-1-丁烯作为氟丁烯,除此以外进行与制备例1同样的操作,制备样品3-1~3-4。然后,测定各个样品的碳酰氟和样品3-3的氟化氢的浓度。测定方法与上述相同。
样品3-1的碳酰氟浓度小于10质量ppm。
样品3-2的碳酰氟浓度为235质量ppm。
样品3-3的碳酰氟浓度为411质量ppm,氟化氢浓度为408质量ppm。
样品3-4的碳酰氟浓度为603质量ppm。
(制备例4)
准备填充到容量10L的锰钢制容器中的1,1,3,3,4,4,4-七氟-1-丁烯。从锰钢制容器中提取1,1,3,3,4,4,4-七氟-1-丁烯的气相部,测定氧浓度,结果为1003质量ppm。另外,从锰钢制容器中提取1,1,3,3,4,4,4-七氟-1-丁烯的液相部,测定水分浓度,结果为391质量ppm。水分浓度和氧浓度的测定方法与上述相同。
使用上述1,1,3,3,4,4,4-七氟-1-丁烯作为氟丁烯,除此以外进行与制备例1同样的操作,制备样品4-1~4-4。然后,测定各样品的碳酰氟和样品4-3的氟化氢的浓度。测定方法与上述相同。
样品4-1的碳酰氟浓度小于10质量ppm。
样品4-2的碳酰氟浓度为268质量ppm。
样品4-3的碳酰氟浓度为437质量ppm,氟化氢浓度为36质量ppm。
样品4-4的碳酰氟浓度为622质量ppm。
再者,各样品的碳酰氟和氟化氢的浓度如表1所示。
Figure BDA0004173580700000201
(实施例1)
在半导体晶片的表面上,将厚度1000nm的硅氧化膜、厚度1000nm的硅氮化膜和厚度1000nm的光致抗蚀剂膜并非层叠而是使其分别在表面露出地形成,将其作为试验体。然后,使用样品1-1的1,1,1,4,4,4-六氟-2-丁烯,进行试验体的蚀刻。
作为蚀刻装置,使用SAMCO株式会社制的ICP蚀刻装置RIE-230iP。具体而言,将样品1-1的1,1,1,4,4,4-六氟-2-丁烯以10mL/分钟的流量、并将氩气以40mL/分钟的流量分别独立地导入腔室内,在腔室内混合而调制蚀刻气体,以500W施加高频电压,在腔室内使蚀刻气体等离子体化。然后,在压力3Pa、温度20℃且偏置功率100W的蚀刻条件下,进行腔室内的试验体的蚀刻。再者,测定了在此使用的氩气的碳酰氟和氟化氢的浓度,结果均未检测出。
蚀刻结束后,从腔室内取出试验体,测定硅氧化膜、硅氮化膜和光致抗蚀剂膜的厚度,算出与蚀刻前的各膜相比的厚度减少量。通过将该减少量除以蚀刻时间,算出各个膜的蚀刻速度。结果,光致抗蚀剂膜的蚀刻速度小于1nm/分钟,硅氧化膜的蚀刻速度为43nm/分钟,硅氮化膜的蚀刻速度为51nm/分钟。由该结果确认到,与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例2)
使用样品1-2的1,1,1,4,4,4-六氟-2-丁烯代替样品1-1,除此以外与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
结果,光致抗蚀剂膜的蚀刻速度为2nm/分钟,硅氧化膜的蚀刻速度为47nm/分钟,硅氮化膜的蚀刻速度为53nm/分钟。由该结果确认到,与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例3)
使用样品1-3的1,1,1,4,4,4-六氟-2-丁烯代替样品1-1,除此以外与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
结果,光致抗蚀剂膜的蚀刻速度为5nm/分钟,硅氧化膜的蚀刻速度为55nm/分钟,硅氮化膜的蚀刻速度为59nm/分钟。由该结果确认到,与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(比较例1)
使用样品1-4的1,1,1,4,4,4-六氟-2-丁烯代替样品1-1,除此以外与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
结果,光致抗蚀剂膜的蚀刻速度为18nm/分钟,硅氧化膜的蚀刻速度为61nm/分钟,硅氮化膜的蚀刻速度为64nm/分钟。由该结果确认到,作为蚀刻对象物的硅氧化膜和硅氮化膜相对于作为非蚀刻对象物的光致抗蚀剂膜的蚀刻选择性降低。
(实施例4)
在直径0.5英寸且长度10cm的SUS制管中填充10mL的联盟昭和株式会社制的5A分子筛。将静置10天后的装有样品1-2的1,1,1,4,4,4-六氟-2-丁烯的气缸B与填充有5A分子筛的上述SUS制管连接,从气缸B使样品1-2的1,1,1,4,4,4-六氟-2-丁烯以100mL/分钟的流量向上述SUS制管流通。然后,测定通过上述SUS制管内部从出口离开的1,1,1,4,4,4-六氟-2-丁烯的碳酰氟和氟化氢的浓度。测定方法与上述相同。结果,碳酰氟浓度、氟化氢浓度均小于10质量ppm。
接着,将上述SUS制管的出口与蚀刻装置的反应腔室连接,将通过上述SUS制管的内部从出口离开的1,1,1,4,4,4-六氟-2-丁烯导入反应室,并且实施与实施例1同样的蚀刻。
结果,光致抗蚀剂膜的蚀刻速度小于1nm/分钟,硅氧化膜的蚀刻速度为44nm/分钟,硅氮化膜的蚀刻速度为53nm/分钟。由该结果确认到,与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例5~13和比较例2~4)
使用表2记载的样品代替样品1-1,除此以外与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。结果如表2所示。
Figure BDA0004173580700000231
由上述实施例的结果可知,蚀刻气体中的碳酰氟浓度低时,与非蚀刻对象物相比,蚀刻对象物被选择性地蚀刻,蚀刻选择比达到10以上。另一方面,由上述比较例的结果可知,蚀刻气体中的碳酰氟的浓度高时,蚀刻对象物相对于非蚀刻对象物的蚀刻选择性降低,蚀刻选择比小于10。
附图标记说明
1···氟丁烯气体供给部
2···稀释气体供给部
3···氟丁烯气体流量控制装置
4···稀释气体流量控制装置
5···氟丁烯气体供给用配管
6···稀释气体供给用配管
7···氟丁烯气体压力控制装置
8···稀释气体压力控制装置
10···腔室
11···载物台
12···被蚀刻构件
13···排气用配管
14···温度计
15···真空泵
16···压力计

Claims (11)

1.一种蚀刻气体,含有氟丁烯,所述氟丁烯由通式C4HxFy表示,所述通式中的x为1以上且7以下、y为1以上且7以下并且x+y为8,
所述蚀刻气体含有碳酰氟作为杂质,碳酰氟的浓度为100质量ppm以下。
2.根据权利要求1所述的蚀刻气体,还含有氟化氢作为杂质,氟化氢的浓度为100质量ppm以下。
3.一种蚀刻气体的制造方法,是制造权利要求1或2所述的蚀刻气体的方法,具备:
对粗氟丁烯实施脱水处理的脱水工序;以及
对所述粗氟丁烯实施脱氧气处理的脱氧气工序,
所述粗氟丁烯是含有水和氧气的所述氟丁烯。
4.根据权利要求3所述的蚀刻气体的制造方法,在进行所述脱水工序之后进行所述脱氧气工序。
5.根据权利要求3或4所述的蚀刻气体的制造方法,所述脱水处理是使所述粗氟丁烯与吸附剂接触而使所述吸附剂吸附水的处理。
6.根据权利要求3~5中任一项所述的蚀刻气体的制造方法,还具备将实施了所述脱水工序和所述脱氧气工序的所述氟丁烯填充到填充容器中的填充工序。
7.一种蚀刻方法,具备蚀刻工序,
在所述蚀刻工序中使权利要求1或2所述的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与所述非蚀刻对象物相比选择性地对所述蚀刻对象物进行蚀刻,所述蚀刻对象物属于由所述蚀刻气体蚀刻的对象,所述非蚀刻对象物不属于由所述蚀刻气体蚀刻的对象,
所述蚀刻对象物含有硅。
8.根据权利要求7所述的蚀刻方法,所述蚀刻气体被填充到填充容器中,所述填充容器内的气相部中碳酰氟的浓度为100质量ppm以下,在所述蚀刻工序中,从所述填充容器中提取所述气相部,使其与所述被蚀刻构件接触,对所述蚀刻对象物进行蚀刻。
9.根据权利要求7或8所述的蚀刻方法,所述蚀刻气体是仅由所述氟丁烯构成的气体、或者是含有所述氟丁烯和稀释气体的混合气体。
10.根据权利要求9所述的蚀刻方法,所述稀释气体是选自氮气、氦气、氩气、氖气、氪气和氙气中的至少一种。
11.一种半导体元件的制造方法,使用权利要求7~10中任一项所述的蚀刻方法制造半导体元件,
所述被蚀刻构件是具有所述蚀刻对象物和所述非蚀刻对象物的半导体基板,
所述制造方法具备通过所述蚀刻从所述半导体基板除去所述蚀刻对象物的至少一部分的处理工序。
CN202180069821.9A 2020-10-15 2021-10-08 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法 Pending CN116325088A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2020173918 2020-10-15
JP2020-173918 2020-10-15
PCT/JP2021/037425 WO2022080271A1 (ja) 2020-10-15 2021-10-08 エッチングガス及びその製造方法、並びに、エッチング方法、半導体素子の製造方法

Publications (1)

Publication Number Publication Date
CN116325088A true CN116325088A (zh) 2023-06-23

Family

ID=81208142

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180069821.9A Pending CN116325088A (zh) 2020-10-15 2021-10-08 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法

Country Status (8)

Country Link
US (1) US20230374381A1 (zh)
EP (1) EP4231333A1 (zh)
JP (1) JPWO2022080271A1 (zh)
KR (1) KR20230066073A (zh)
CN (1) CN116325088A (zh)
IL (1) IL302125A (zh)
TW (1) TWI796803B (zh)
WO (1) WO2022080271A1 (zh)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2377419A1 (fr) 1977-01-13 1978-08-11 Roussel Uclaf Nouveaux derives steroides 11b-substitues 1,3,5 (10) trieniques, leur procede de preparation et leur application comme medicament
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
SG10202113236SA (en) * 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
JP2014185111A (ja) * 2013-03-25 2014-10-02 Nippon Zeon Co Ltd 高純度2,2−ジフルオロブタン
KR102400322B1 (ko) 2013-12-30 2022-05-20 더 케무어스 컴퍼니 에프씨, 엘엘씨 챔버 세정 및 반도체 식각 기체
TWI658509B (zh) * 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
JP6822763B2 (ja) * 2015-11-16 2021-01-27 セントラル硝子株式会社 ドライエッチング方法
EP3437709A4 (en) * 2016-03-30 2020-03-04 Zeon Corporation FILTER, METHOD FOR PRODUCING THE SAME, DRY ETCHING APPARATUS, AND DRY ETCHING METHOD

Also Published As

Publication number Publication date
IL302125A (en) 2023-06-01
EP4231333A1 (en) 2023-08-23
TWI796803B (zh) 2023-03-21
WO2022080271A1 (ja) 2022-04-21
TW202224017A (zh) 2022-06-16
KR20230066073A (ko) 2023-05-12
JPWO2022080271A1 (zh) 2022-04-21
US20230374381A1 (en) 2023-11-23

Similar Documents

Publication Publication Date Title
JP6822763B2 (ja) ドライエッチング方法
KR101969517B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
TWI438841B (zh) 電漿蝕刻方法
JP6447507B2 (ja) 高純度フッ素化炭化水素をプラズマエッチングガスとして用いるプラズマエッチング方法
CN116325088A (zh) 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法
JP5431673B2 (ja) 不飽和フッ素化炭素化合物の精製方法、フルオロカーボン膜の成膜方法、及び半導体装置の製造方法
CN116325090A (zh) 蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法
JPWO2014129488A1 (ja) 高純度1h−ヘプタフルオロシクロペンテン
TWI788052B (zh) 蝕刻氣體、蝕刻方法,及半導體元件之製造方法
WO2022080268A1 (ja) エッチングガス、エッチング方法、及び半導体素子の製造方法
JPWO2020153066A1 (ja) ドライエッチング方法、ドライエッチング剤、及びその保存容器
TWI815331B (zh) 蝕刻氣體及其製造方法、蝕刻方法以及半導體元件之製造方法
CN113196454A (zh) 利用卤素氟化物的蚀刻方法、半导体的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination