CN116325090A - 蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法 - Google Patents

蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法 Download PDF

Info

Publication number
CN116325090A
CN116325090A CN202180070335.9A CN202180070335A CN116325090A CN 116325090 A CN116325090 A CN 116325090A CN 202180070335 A CN202180070335 A CN 202180070335A CN 116325090 A CN116325090 A CN 116325090A
Authority
CN
China
Prior art keywords
etching
gas
fluorobutene
target
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180070335.9A
Other languages
English (en)
Inventor
铃木淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lishennoco Co ltd
Original Assignee
Lishennoco Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lishennoco Co ltd filed Critical Lishennoco Co ltd
Publication of CN116325090A publication Critical patent/CN116325090A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供能够与非蚀刻对象物相比选择性地蚀刻含有硅的蚀刻对象物的蚀刻气体以及蚀刻方法。蚀刻气体含有用通式C4HxFy表示且通式中的x为1以上且7以下、y为1以上且7以下、x+y为8的氟丁烯。而且,蚀刻气体含有氟化氢作为杂质,氟化氢的浓度为100质量ppm以下。蚀刻方法具备蚀刻工序,在所述蚀刻工序中,使蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件(12)接触,与非蚀刻对象物相比选择性地蚀刻蚀刻对象物,所述蚀刻对象物是蚀刻气体的蚀刻对象,所述非蚀刻对象物不是蚀刻气体的蚀刻对象。蚀刻对象物含有硅。

Description

蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造 方法
技术领域
本发明涉及蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法。
背景技术
在半导体的制造工序中,在氧化硅、氮化硅等硅化合物的图案化、除去中可采用干式蚀刻。对于干式蚀刻要求高的蚀刻选择性。即,要求能够与用于图案化的抗蚀剂、掩模相比选择性地蚀刻硅化合物。
曾提出了满足该要求的各种蚀刻气体,例如在专利文献1中公开了一种由六氟丁烯构成的蚀刻气体。另外,在专利文献2中公开了一种含有六氟丁烯和六氟丁炔的蚀刻气体。
在先技术文献
专利文献
专利文献1:日本国专利公报第6257638号
专利文献2:日本国专利公报第6462699号
发明内容
然而,当使用专利文献1、2中所公开的蚀刻气体进行蚀刻时,有蚀刻选择性变得不充分的情况。
本发明的课题是提供在使蚀刻气体与具有作为蚀刻气体的蚀刻对象的蚀刻对象物和不为蚀刻气体的蚀刻对象的非蚀刻对象物的被蚀刻构件接触来进行蚀刻的情况下,能够与非蚀刻对象物相比选择性地蚀刻蚀刻对象物的蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法。
为了解决上述课题,本发明的一方式如以下的[1]~[11]所示。
[1]一种蚀刻气体,含有用通式C4HxFy表示且所述通式中的x为1以上且7以下、y为1以上且7以下、x+y为8的氟丁烯,
所述蚀刻气体含有氟化氢作为杂质,氟化氢的浓度为100质量ppm以下。
[2]根据[1]所述的蚀刻气体,还含有碳酰氟作为杂质,碳酰氟的浓度为100质量ppm以下。
[3]一种蚀刻气体的制造方法,是制造[1]或[2]所述的蚀刻气体的方法,具备脱水工序和脱氧气工序,
在所述脱水工序中,对含有水和氧气的所述氟丁烯即粗氟丁烯实施脱水处理,
在所述脱氧气工序中,对所述粗氟丁烯实施脱氧气处理。
[4]根据[3]所述的蚀刻气体的制造方法,在进行所述脱水工序之后进行所述脱氧气工序。
[5]根据[3]或[4]所述的蚀刻气体的制造方法,所述脱水处理是使所述粗氟丁烯与吸附剂接触而使所述吸附剂吸附水的处理。
[6]根据[3]~[5]的任一项所述的蚀刻气体的制造方法,还具备填充工序,在所述填充工序中,向填充容器中填充实施了所述脱水工序和所述脱氧气工序的所述氟丁烯。
[7]一种蚀刻方法,具备蚀刻工序,在所述蚀刻工序中,使[1]或[2]所述的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与所述非蚀刻对象物相比选择性地蚀刻所述蚀刻对象物,所述蚀刻对象物是所述蚀刻气体的蚀刻对象,所述非蚀刻对象物不是所述蚀刻气体的蚀刻对象,所述蚀刻对象物含有硅。
[8]根据[7]所述的蚀刻方法,所述蚀刻气体被填充到填充容器中,所述填充容器内的气相部的氟化氢的浓度为100质量ppm以下,在所述蚀刻工序中,从所述填充容器抽出所述气相部并使其与所述被蚀刻构件接触来蚀刻所述蚀刻对象物。
[9]根据[7]或[8]所述的蚀刻方法,所述蚀刻气体为仅由所述氟丁烯构成的气体、或者含有所述氟丁烯和稀释气体的混合气体。
[10]根据[9]所述的蚀刻方法,所述稀释气体为选自氮气、氦气、氩气、氖气、氪气和氙气之中的至少一种。
[11]一种半导体元件的制造方法,使用[7]~[10]的任一项所述的蚀刻方法来制造半导体元件,
所述被蚀刻构件是具有所述蚀刻对象物和所述非蚀刻对象物的半导体基板,
所述制造方法具备处理工序,在所述处理工序中,通过所述蚀刻来从所述半导体基板除去所述蚀刻对象物的至少一部分。
根据本发明,能够与非蚀刻对象物相比选择性地蚀刻含有硅的蚀刻对象物。
附图说明
图1是说明本发明涉及的蚀刻方法的一实施方式的蚀刻装置的一例的概略图。
具体实施方式
以下对本发明的一实施方式进行说明。再者,本实施方式示出了本发明的一例,本发明并不限定于本实施方式。另外,能够对本实施方式施以各种的变更或改良,实施这样的变更或改良而得到的方式也会包含在本发明中。
本实施方式涉及的蚀刻气体,是含有用通式C4HxFy表示且通式中的x为1以上且7以下、y为1以上且7以下、x+y为8的氟丁烯的蚀刻气体,含有氟化氢(HF)作为杂质,氟化氢的浓度为100质量ppm以下。
本实施方式涉及的蚀刻方法,具备蚀刻工序,在所述蚀刻工序中,使上述本实施方式涉及的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与非蚀刻对象物相比选择性地蚀刻蚀刻对象物,所述蚀刻对象物是蚀刻气体的蚀刻对象,所述非蚀刻对象物不是蚀刻气体的蚀刻对象。而且,在本实施方式涉及的蚀刻方法中,蚀刻对象物含有硅(Si)。
当使蚀刻气体与被蚀刻构件接触时,含有硅的蚀刻对象物与蚀刻气体中的上述氟丁烯反应,因此蚀刻对象物的蚀刻进行。与此相对,掩模等非蚀刻对象物与上述氟丁烯几乎不反应,因此非蚀刻对象物的蚀刻几乎不进行。因此,根据本实施方式涉及的蚀刻方法,能够与非蚀刻对象物相比选择性地蚀刻蚀刻对象物(即,能够获得高的蚀刻选择性)。
而且,上述氟丁烯在干式蚀刻中反应而聚合物化,非蚀刻对象物由该聚合物的膜被覆从而在蚀刻中被保护。因此,非蚀刻对象物的蚀刻更难以进行,因此如果使用含有上述氟丁烯的蚀刻气体进行蚀刻,则蚀刻选择性进一步变高。
但是,氟化氢针对氧化硅、氮化硅等蚀刻对象物和掩模等非蚀刻对象物这两者反应性高。因此,当使用含有氟化氢的蚀刻气体进行被蚀刻构件的蚀刻时,蚀刻对象物和非蚀刻对象物这两者都被蚀刻,有蚀刻选择性变得不充分的风险。因此,为了提高蚀刻选择性,需要降低蚀刻气体中的氟化氢的浓度。
即,蚀刻气体中的氟化氢的浓度需要设为100质量ppm以下,优选为50质量ppm以下,更优选为10质量ppm以下。如果使用氟化氢的浓度为上述范围内的蚀刻气体进行蚀刻,则非蚀刻对象物难以被蚀刻,因此蚀刻对象物相对于非蚀刻对象物的蚀刻选择性变高。氟化氢的浓度的测定方法没有特别限定,例如能够采用红外分光法进行定量。
另外,碳酰氟(COF2)也具有与氟化氢同样的作用,针对氧化硅、氮化硅等蚀刻对象物和掩模等非蚀刻对象物这两者反应性高,因此优选蚀刻气体中的碳酰氟的浓度低。即,在蚀刻气体还含有碳酰氟作为杂质的情况下,蚀刻气体中的碳酰氟的浓度优选为100质量ppm以下,更优选为50质量ppm以下,进一步优选为10质量ppm以下。碳酰氟的浓度的测定方法没有特别限定,例如能够采用红外分光法进行定量。
如以上那样,本实施方式涉及的蚀刻气体,氟化氢的浓度低,因此如果使用本实施方式涉及的蚀刻气体进行被蚀刻构件的干式蚀刻,则非蚀刻对象物难以被蚀刻,能够与非蚀刻对象物相比选择性地蚀刻蚀刻对象物,蚀刻选择性变高。
例如,蚀刻对象物的蚀刻速度相对于非蚀刻对象物的蚀刻速度之比即蚀刻选择比容易成为10以上。蚀刻选择比优选为10以上,更优选为30以上,进一步优选为50以上。
碳酰氟以及氟化氢是来自上述氟丁烯的杂质。以下说明在上述氟丁烯中作为杂质容易含有碳酰氟、氟化氢的原因。
上述氟丁烯含有少量的氧气(O2)、水(H2O)的情况较多,但当氟丁烯中的氧浓度超过1000质量ppm时,如下述式所示,氟丁烯与氧气反应,生成碳酰氟和氟化氢。而且,当在氟丁烯中存在水时,促进下述式的反应。
C4HxFy+(4-(y-x)/4)O2→(y-x)/2COF2+xHF+(4-(y-x)/2)CO2
通过如以上那样上述氟丁烯与氧气反应,生成碳酰氟和氟化氢。因此,在制造含有上述氟丁烯的本实施方式涉及的蚀刻气体的情况下,为了降低碳酰氟、氟化氢的浓度,需要从上述氟丁烯中除去水以及氧气。
即,本实施方式涉及的蚀刻气体的制造方法,具备脱水工序和脱氧气工序,在所述脱水工序中,对含有水以及氧气的上述氟丁烯即粗氟丁烯实施脱水处理,在所述脱氧气工序中,对粗氟丁烯实施脱氧气处理。实施脱水工序和脱氧气工序的顺序没有特别限定,先进行哪个工序都可以。另外,如果可能的话,则也可以同时进行脱水工序和脱氧气工序。
再者,本发明中的蚀刻意指:除去被蚀刻构件具有的蚀刻对象物的一部分或全部而将被蚀刻构件加工成规定的形状(例如三维形状)(例如,将被蚀刻构件具有的由硅化合物构成的膜状的蚀刻对象物加工成规定的膜厚)。
本实施方式涉及的蚀刻方法能够利用于半导体元件的制造。即,本实施方式涉及的半导体元件的制造方法是使用本实施方式涉及的蚀刻方法来制造半导体元件的半导体元件制造方法,被蚀刻构件是具有蚀刻对象物以及非蚀刻对象物的半导体基板,该制造方法具备通过蚀刻从半导体基板除去蚀刻对象物的至少一部分的处理工序。
本实施方式涉及的蚀刻方法,能够精度好地蚀刻蚀刻对象物,因此例如能够用于3D-NAND型闪速存储器、逻辑器件等半导体元件的制造。另外,对于本实施方式涉及的蚀刻方法,能够期待对半导体元件的进一步的微细化、高集成化的贡献。
以下对本实施方式涉及的蚀刻气体、蚀刻气体的制造方法、蚀刻方法以及半导体元件的制造方法进一步详细说明。
〔氟丁烯〕
本实施方式涉及的蚀刻气体中所含有的氟丁烯,是用通式C4HxFy表示的,并且,是满足通式中的x为1以上且7以下、y为1以上且7以下、x+y为8这3个条件的。氟丁烯的种类,如果满足上述要件,就没有特别限定,不论是直链状的氟丁烯还是支链状的氟丁烯(异丁烯)都能够使用,但氟-1-丁烯及其类似物和氟-2-丁烯及其类似物可优选地使用。
作为氟-1-丁烯的具体例,可列举CHF2-CF2-CF=CF2、CF3-CF2-CF=CHF、CF3-CHF-CF=CF2、CF3-CF2-CH=CF2、CHF2-CHF-CF=CF2、CHF2-CF2-CF=CHF、CF3-CHF-CF=CHF、CF3-CF2-CH=CHF、CF3-CHF-CH=CF2、CHF2-CF2-CH=CF2、CH3-CF2-CF=CF2、CH2F-CHF-CF=CF2、CH2F-CF2-CH=CF2、CH2F-CF2-CF=CHF、CHF2-CH2-CF=CF2、CHF2-CHF-CH=CF2、CHF2-CHF-CF=CHF、CHF2-CF2-CH=CHF、CHF2-CF2-CF=CH2、CF3-CH2-CH=CF2、CF3-CH2-CF=CHF、CF3-CHF-CH=CHF、CF3-CHF-CF=CH2、CF3-CF2-CH=CH2、CH3-CHF-CF=CF2、CH3-CF2-CH=CF2、CH3-CF2-CF=CHF、CH2F-CH2-CF=CF2、CH2F-CHF-CH=CF2、CH2F-CHF-CF=CHF、CH2F-CF2-CH=CHF、CH2F-CF2-CF=CH2、CHF2-CH2-CH=CF2、CHF2-CH2-CF=CHF、CHF2-CHF-CH=CHF、CHF2-CHF-CF=CH2、CHF2-CF2-CH=CH2、CF3-CH2-CH=CHF、CF3-CH2-CF=CH2、CF3-CHF-CH=CH2、CH3-CH2-CF=CF2、CH3-CHF-CH=CF2、CH3-CHF-CF=CHF、CH3-CF2-CH=CHF、CH3-CF2-CF=CH2、CH2F-CH2-CH=CF2、CH2F-CH2-CF=CHF、CH2F-CHF-CH=CHF、CH2F-CHF-CF=CH2、CH2F-CF2-CH=CH2、CHF2-CH2-CH=CHF、CHF2-CH2-CF=CH2、CHF2-CHF-CH=CH2、CF3-CH2-CH=CH2、CH3-CH2-CH=CF2、CH3-CH2-CF=CHF、CH3-CHF-CH=CHF、CH3-CHF-CF=CH2、CH3-CF2-CH=CH2、CH2F-CH2-CH=CHF、CH2F-CH2-CF=CH2、CH2F-CHF-CH=CH2、CHF2-CH2-CH=CH2、CH3-CH2-CH=CHF、CH3-CH2-CF=CH2、CH3-CHF-CH=CH2、CH2F-CH2-CH=CH2
作为氟-2-丁烯的具体例,可列举CHF2-CF=CF-CF3、CF3-CH=CF-CF3、CH2F-CF=CF-CF3、CHF2-CH=CF-CF3、CHF2-CF=CF-CHF2、CF3-CH=CH-CF3、CH3-CF=CF-CF3、CH2F-CH=CF-CF3、CH2F-CF=CH-CF3、CH2F-CF=CF-CHF2、CHF2-CH=CH-CF3、CHF2-CF=CH-CHF2、CH3-CH=CF-CF3、CH3-CF=CH-CF3、CH3-CF=CF-CHF2、CH2F-CH=CH-CF3、CH2F-CH=CF-CHF2、CH2F-CF=CH-CHF2、CH2F-CF=CF-CH2F、CHF2-CH=CH-CHF2、CH3-CH=CH-CF3、CH3-CH=CF-CHF2、CH3-CF=CH-CHF2、CH3-CF=CF-CH2F、CH2F-CF=CH-CH2F、CH2F-CH=CH-CHF2、CH3-CH=CH-CHF2、CH3-CH=CF-CH2F、CH3-CF=CH-CH2F、CH3-CF=CF-CH3、CH2F-CH=CH-CH2F、CH3-CH=CH-CH2F、CH3-CH=CF-CH3
这些氟丁烯可以单独使用1种,也可以并用2种以上。另外,上述氟丁烯的一部分存在顺反异构体(cis-trans isomers),但任何的顺式型、反式型的氟丁烯都能够用于本实施方式涉及的蚀刻气体。
在上述氟丁烯之中,优选在1个大气压下的沸点为50℃以下的氟丁烯,更优选在1个大气压下的沸点为40℃以下的氟丁烯。如果沸点在上述范围内,则在将氟丁烯的气体向例如等离子体蚀刻装置中导入时,氟丁烯的气体在导入氟丁烯的气体的配管等的内部难以液化。因此,能够抑制由氟丁烯的气体的液化引起的故障的发生,因此能够高效地进行等离子体蚀刻处理。
〔蚀刻气体〕
蚀刻气体是含有上述氟丁烯的气体。蚀刻气体可以是仅由上述氟丁烯构成的气体,也可以是含有上述氟丁烯和稀释气体的混合气体。稀释气体优选相对于氟丁烯、被蚀刻构件为不活性的。另外,也可以是含有上述氟丁烯、稀释气体和添加气体的混合气体。
作为稀释气体,优选不活性气体,具体而言,能够使用选自氮气(N2)、氦气(He)、氖气(Ne)、氩气(Ar)、氪气(Kr)和氙气(Xe)中的至少一种。
作为添加气体,能够使用例如碳氟化合物(fluorocarbon)气体、氢氟烃(hydrofluorocarbon)气体。作为碳氟化合物的具体例,可列举四氟化碳(CF4)、六氟甲烷(C2F6)、八氟丙烷(C3F8)。作为氢氟烃的具体例,可列举CF3H、CF2H2、CFH3、C2F4H2、C2F5H、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5。这些添加气体可以单独使用1种,也可以并用2种以上。
稀释气体的含量,相对于蚀刻气体的总量,优选为90体积%以下,更优选为50体积%以下。另外,添加气体的含量,相对于蚀刻气体的总量,优选为50体积%以下,更优选为30体积%以下。
上述氟丁烯的含量,从提高蚀刻速度的观点出发,相对于蚀刻气体的总量,优选为5体积%以上,进一步优选为10体积%以上。另外,从抑制上述氟丁烯的使用量的观点出发,相对于蚀刻气体的总量,优选为90体积%以下,进一步优选为80体积%以下。
〔蚀刻气体的制造方法〕
本实施方式涉及的蚀刻气体的制造方法,如上述那样,具备脱水工序和脱氧气工序,在所述脱水工序中,对含有水以及氧气的上述氟丁烯即粗氟丁烯实施脱水处理,在所述脱氧气工序中,对粗氟丁烯实施脱氧气处理。
从粗氟丁烯除去水的脱水处理的方法没有特别限定,能够采用公知的方法。例如,能够采用使粗氟丁烯与吸附剂接触的处理。通过使粗氟丁烯与吸附剂接触而使吸附剂吸附水,能够进行脱水处理。再者,通过使粗氟丁烯与吸附剂接触,也有时碳酰氟和氟化氢中的至少一者与水一起被从粗氟丁烯中除去。
吸附剂的种类只要能够从上述氟丁烯中除去水就没有特别限定,可列举例如分子筛3A、分子筛4A、分子筛5A、活性炭、硅胶(二氧化硅凝胶:silica gel)等。在这些例示的吸附剂之中,更优选能够选择性地吸附水的分子筛3A。
再者,作为除去碳酰氟的吸附剂,能够使用上述的各种分子筛。另外,作为除去氟化氢的吸附剂,能够使用上述的各种分子筛、氟化钠等的金属氟化物。
在使粗氟丁烯与吸附剂接触时,可以使气体状的氟丁烯接触,也可以使液体状的氟丁烯接触。另外,粗氟丁烯与吸附剂的接触可以以流通式进行,也可以以间歇(batch)式进行。但是,为了尽可能降低上述氟丁烯中的水分浓度,更优选采用使液体状的氟丁烯以间歇式与吸附剂接触24小时以上的方法。
通过脱水处理,使上述氟丁烯中的水分浓度优选成为500质量ppm以下,更优选成为100质量ppm以下,进一步优选成为10质量ppm以下。如果这样的话,则难以发生通过上述式的反应而进行的碳酰氟以及氟化氢的生成。
上述氟丁烯中的水分浓度的测定方法没有特别限定,例如能够采用卡尔费休(Karl Fischer)法进行定量。
从粗氟丁烯中除去氧气的脱氧气处理的方法没有特别限定,例如能够采用将粗氟丁烯进行蒸馏而分离氧气的处理。再者,通过将粗氟丁烯进行蒸馏,也有时碳酰氟和氟化氢中的至少一者与氧气一起被从粗氟丁烯中除去。
蒸馏方法只要能够从粗氟丁烯中分离氧气就没有特别限定,例如能够采用间歇式蒸馏法、连续蒸馏法。在蒸馏中使用的蒸馏塔的形式没有特别限定,例如能够使用利用了筛板塔盘(sieve tray)、泡罩塔盘(bubble cap tray)等的板式塔(plate column)、填充了规则填充物、不规则填充物的填充塔。
蒸馏条件没有特别限定,但理论塔板数优选为1以上且30以下,更优选为3以上且10以下。
在进行蒸馏时被装入粗氟丁烯的蒸馏塔的塔底(釜)的温度没有特别限定,但优选设为10℃以上且80℃以下,更优选设为20℃以上且60℃以下。
蒸馏塔的塔顶的温度没有特别限定,但优选设为-60℃以上且0℃以下,更优选设为-50℃以上且-20℃以下。
通过脱氧气处理,使上述氟丁烯中的氧浓度优选成为1000质量ppm以下,更优选成为500质量ppm以下,进一步优选成为100质量ppm以下。如果这样的话,则难以发生通过上述式的反应进行的碳酰氟以及氟化氢的生成。
上述氟丁烯中的氧浓度的测定方法没有特别限定,例如能够采用气相色谱法进行定量。
再者,本实施方式涉及的蚀刻气体的制造方法也可以还具备填充工序,在所述填充工序中,向填充容器中填充实施脱水工序以及脱氧气工序而降低了氧气以及水的含量的上述氟丁烯。
在蚀刻气体为含有上述氟丁烯和稀释气体的混合气体的情况下,可以在将上述氟丁烯和稀释气体混合而形成为混合气体之后,将该混合气体填充到填充容器中,也可以将上述氟丁烯和稀释气体分别分开地向填充容器中填充而在填充容器内形成为混合气体。
向填充容器内填充上述氟丁烯的方法没有特别限定,例如能够采用气相填充法、液相填充法。另外,在填充氟丁烯时,也可以采用加热抽真空法等预先除去填充容器内的氧气。
填充容器的材质没有特别限定,例如可列举锰钢、不锈钢、哈氏合金(Hastelloy(注册商标))、因科内尔合金(Inconel(注册商标))。
〔蚀刻方法〕
本实施方式的蚀刻,不论采用使用等离子体的等离子体蚀刻、不使用等离子体的无等离子体蚀刻中的哪一种都能够实现。作为等离子体蚀刻,可列举例如反应性离子蚀刻(RIE:Reactive Ion Etching)、电感耦合型等离子体(ICP:Inductively Coupled Plasma)蚀刻、电容耦合型等离子体(CCP:Capacitively Coupled Plasma)蚀刻、电子回旋共振(ECR:Electron Cyclotron Resonance)等离子体蚀刻、微波等离子体蚀刻。
另外,在等离子体蚀刻中,等离子体可以在设置有被蚀刻构件的腔室内发生,也可以将等离子体发生室与设置被蚀刻构件的腔室分开(即,也可以使用远程等离子体)。通过使用了远程等离子体的蚀刻,有时能够以更高的选择性蚀刻含有硅的蚀刻对象物。
而且,在本实施方式涉及的蚀刻方法中,也可以使用被填充到填充容器中的蚀刻气体进行蚀刻。即,也可以:将蚀刻气体填充到填充容器中,填充容器内的气相部的氟化氢的浓度为100质量ppm以下,在蚀刻工序中,将气相部从填充容器中抽出并使其与被蚀刻构件接触来对蚀刻对象物进行蚀刻。
〔蚀刻工序的压力条件〕
本实施方式涉及的蚀刻方法中的蚀刻工序的压力条件没有特别限定,但优选设为10Pa以下,更优选设为5Pa以下。如果压力条件在上述的范围内,则容易使等离子体稳定地发生。另一方面,蚀刻工序的压力条件优选为0.05Pa以上。如果压力条件在上述的范围内,则较多地产生电离离子,容易得到充分的等离子体密度。
蚀刻气体的流量只要根据腔室的大小、对腔室内进行减压的排气设备的能力来适当设定以使得腔室内的压力保持为恒定即可。
〔蚀刻工序的温度条件〕
本实施方式涉及的蚀刻方法中的蚀刻工序的温度条件没有特别限定,但为了得到高的蚀刻选择性,优选设为200℃以下,为了更加抑制掩模等非蚀刻对象物被蚀刻,更优选设为150℃以下,为了进行各向异性蚀刻,进一步优选设为100℃以下。在此,温度条件的温度是被蚀刻构件的温度,但也能够使用设置于蚀刻装置的腔室内的、支持被蚀刻构件的载台(stage)的温度。
上述氟丁烯在200℃以下的温度下与掩模等非蚀刻对象物几乎不反应。因此,如果采用本实施方式涉及的蚀刻方法对被蚀刻构件进行蚀刻,则能够几乎不对非蚀刻对象物进行蚀刻而选择性地蚀刻蚀刻对象物。因此,本实施方式涉及的蚀刻方法能够利用于将被图案化了的非蚀刻对象物作为抗蚀剂或掩模利用来将含有硅的蚀刻对象物加工成规定的形状的方法等。
而且,如果蚀刻对象物以及非蚀刻对象物的温度为200℃以下,则蚀刻选择性容易变高。例如,含有硅的蚀刻对象物的蚀刻速度相对于非蚀刻对象物的蚀刻速度之比即蚀刻选择比容易成为10以上。
关于构成在进行蚀刻时发生的等离子体与被蚀刻构件之间的电位差的偏置功率(bias power),只要根据所期望的蚀刻形状从0~10000W选择即可,在选择性地进行蚀刻的情况下优选为0~1000W左右。通过该电位差,能够进行各向异性蚀刻。
〔被蚀刻构件〕
采用本实施方式涉及的蚀刻方法进行蚀刻的被蚀刻构件,具有蚀刻对象物和非蚀刻对象物,但可以是具有由蚀刻对象物形成的部分和由非蚀刻对象物形成的部分的构件,也可以是由蚀刻对象物与非蚀刻对象物的混合物形成的构件。另外,被蚀刻构件也可以具有蚀刻对象物和非蚀刻对象物以外的部分。
另外,被蚀刻构件的形状没有特别限定,可以是例如板状、箔状、膜状、粉末状、块状。作为被蚀刻构件的例子,可列举前述的半导体基板。
〔蚀刻对象物〕
蚀刻对象物可以是仅由含有硅的材料形成的蚀刻对象物,也可以是具有仅由含有硅的材料形成的部分和由其他材质形成的部分的蚀刻对象物,也可以是由含有硅的材料与其他材质的混合物形成的蚀刻对象物。作为含有硅的材料,可列举例如氧化硅、氮化硅、多晶硅(polysilicon)、硅锗(SiGe)。
作为氧化硅的例子,可列举二氧化硅(SiO2)。另外,氮化硅是指以任意的比例具有硅和氮的化合物,作为例子,能够列举Si3N4。氮化硅的纯度没有特别限定,但优选为30质量%以上,更优选为60质量%以上,进一步优选为90质量%以上。
另外,蚀刻对象物的形状没有特别限定,可以为例如板状、箔状、膜状、粉末状、块状。
〔非蚀刻对象物〕
非蚀刻对象物,与上述的氟丁烯实质上不反应、或者与上述的氟丁烯的反应极慢,因此即使采用本实施方式涉及的蚀刻方法进行蚀刻,蚀刻也几乎不进行。非蚀刻对象物只要具有上述那样的性质就没有特别限定,可列举例如光致抗蚀剂、非晶质碳(C)、氮化钛(TiN)、铜(Cu)、镍(Ni)、钴(Co)等金属、这些金属的氧化物、氮化物。其中,从操作性以及获得容易性的观点出发,更优选光致抗蚀剂、非晶质碳。
光致抗蚀剂意指以溶解性为首的物性因光、电子射线等而变化的感光性的组合物。例如,可列举g线用、h线用、i线用、KrF用、ArF用、F2用、EUV用等的光致抗蚀剂。光致抗蚀剂的组成如果是在半导体制造工序中通常使用的组成就没有特别限定,例如可列举含有由选自链状烯烃、环状烯烃、苯乙烯、乙烯基苯酚、丙烯酸、甲基丙烯酸酯、环氧(epoxy)、三聚氰胺(melamine)和二醇中的至少一种单体合成的聚合物的组合物。
另外,非蚀刻对象物能够作为用于抑制蚀刻气体对蚀刻对象物的蚀刻的抗蚀剂或掩模使用。因此,本实施方式涉及的蚀刻方法能够利用于将被图案化了的非蚀刻对象物作为抗蚀剂或掩模利用来将蚀刻对象物加工成规定的形状(例如,将被蚀刻构件具有的膜状的蚀刻对象物加工成规定的膜厚)等的方法,因此能够适合地用于半导体元件的制造。另外,由于非蚀刻对象物几乎不被蚀刻,因此能够抑制半导体元件之中的本来不应该被蚀刻的部分被蚀刻的情况,能够防止半导体元件的特性因蚀刻而丧失。
再者,图案化后残留的非蚀刻对象物能够采用在半导体元件制造工序中通常使用的除去方法来除去。例如,可列举:利用氧等离子体、臭氧等氧化性气体进行的灰化(ashing)、使用APM(氨水与过氧化氢水(hydrogen peroxide water)的混合液)、SPM(硫酸与过氧化氢水的混合液)、有机溶剂等药液进行的溶解除去。
接着,参照图1说明能够实施本实施方式涉及的蚀刻方法的蚀刻装置的构成的一例、和使用了该蚀刻装置的蚀刻方法的一例。图1的蚀刻装置是使用等离子体进行蚀刻的等离子体蚀刻装置。首先,对图1的蚀刻装置进行说明。
图1的蚀刻装置具备:在内部进行蚀刻的腔室10;在腔室10的内部生成等离子体的等离子体发生装置(未图示);将要蚀刻的被蚀刻构件12支持于腔室10的内部的载台11;对被蚀刻构件12的温度进行测定的温度计14;用于将腔室10的内部的气体排出的排气用配管13;设置于排气用配管13且对腔室10的内部进行减压的真空泵15;和对腔室10的内部的压力进行测定的压力计16。如果将载台11与高频电源连接,则能够对载台11赋予对被蚀刻构件12施加高频的功能。
等离子体发生装置的等离子体生成机构的种类没有特别限定,可以是对平行板施加高频电压的等离子体生成机构,也可以是在线圈中流动高频电流的等离子体生成机构。当在等离子体中对被蚀刻构件12施加高频电压时,负的电压施加于被蚀刻构件12,正离子高速且垂直地向被蚀刻构件12入射,因此能够进行各向异性蚀刻。
另外,图1的蚀刻装置具备向腔室10的内部供给蚀刻气体的蚀刻气体供给部。该蚀刻气体供给部具有供给氟丁烯气体的氟丁烯气体供给部1、供给稀释气体的稀释气体供给部2、将氟丁烯气体供给部1与腔室10连接的氟丁烯气体供给用配管5、和将稀释气体供给部2连接于氟丁烯气体供给用配管5的中间部的稀释气体供给用配管6。
而且,在氟丁烯气体供给用配管5设置有控制氟丁烯气体的压力的氟丁烯气体压力控制装置7、和控制氟丁烯气体的流量的氟丁烯气体流量控制装置3。而且,在稀释气体供给用配管6设置有控制稀释气体的压力的稀释气体压力控制装置8、和控制稀释气体的流量的稀释气体流量控制装置4。再者,也可以以与稀释气体供给部2、稀释气体流量控制装置4、稀释气体供给用配管6、稀释气体压力控制装置8同样的方式附设供给添加气体的设备(未图示)。
而且,在作为蚀刻气体向腔室10供给氟丁烯气体的情况下,通过利用真空泵15对腔室10的内部进行减压之后,从氟丁烯气体供给部1向氟丁烯气体供给用配管5送出氟丁烯气体,从而氟丁烯气体经由氟丁烯气体供给用配管5被供给到腔室10中。
另外,在作为蚀刻气体供给氟丁烯气体与不活性气体等稀释气体的混合气体的情况下,利用真空泵15对腔室10的内部进行减压之后,从氟丁烯气体供给部1向氟丁烯气体供给用配管5送出氟丁烯气体,并且,从稀释气体供给部2经由稀释气体供给用配管6向氟丁烯气体供给用配管5送出稀释气体。由此,在氟丁烯气体供给用配管5的中间部,氟丁烯气体与稀释气体混合而成为混合气体,该混合气体经由氟丁烯气体供给用配管5被供给到腔室10中。但是,也可以将氟丁烯气体和稀释气体分别分开地向腔室10中供给,并在腔室10内形成为混合气体。
再者,氟丁烯气体供给部1以及稀释气体供给部2的构成并没有特别限定,例如,可以是储气瓶、筒容器(cylinder)等。另外,作为氟丁烯气体流量控制装置3以及稀释气体流量控制装置4,例如能够利用质量流量控制器、流量计等。
在向腔室10供给蚀刻气体时,优选一边将蚀刻气体的供给压力(即,图1中的氟丁烯气体压力控制装置7的值)保持为规定值一边进行供给。即,蚀刻气体的供给压力优选设为1Pa以上且0.2MPa以下,更优选设为10Pa以上且0.1MPa以下,进一步优选设为50Pa以上且50kPa以下。如果蚀刻气体的供给压力在上述范围内,则能够顺畅地进行蚀刻气体向腔室10的供给,并且,针对图1的蚀刻装置具有的部件(例如,上述各种装置、上述配管)的负荷小。
另外,从对被蚀刻构件12的表面均匀地进行蚀刻这一观点出发,被供给到腔室10内的蚀刻气体的压力优选为1Pa以上且80kPa以下,更优选为10Pa以上且50kPa以下,进一步优选为100Pa以上且20kPa以下。如果腔室10内的蚀刻气体的压力在上述范围内,则能够得到充分的蚀刻速度,并且蚀刻选择比容易变高。
供给蚀刻气体之前的腔室10内的压力,如果为蚀刻气体的供给压力以下、或者比蚀刻气体的供给压力低,就没有特别限定,但例如优选为10-5Pa以上且小于10kPa,更优选为1Pa以上且2kPa以下。
蚀刻气体的供给压力与供给蚀刻气体之前的腔室10内的压力的压差优选为0.5MPa以下,更优选为0.3MPa以下,进一步优选为0.1MPa以下。如果压差在上述范围内,则容易顺畅地进行蚀刻气体向腔室10的供给。
在向腔室10供给蚀刻气体时,优选一边将蚀刻气体的温度保持为规定值一边进行供给。即,蚀刻气体的供给温度优选为0℃以上且150℃以下。
蚀刻的处理时间(以下有时记为“蚀刻时间”)能够根据欲对被蚀刻构件12具有的蚀刻对象物进行怎样程度的蚀刻来任意地设定,但若考虑半导体元件制造工艺的生产效率,则优选为60分钟以内,更优选为40分钟以内,进一步优选为20分钟以内。再者,蚀刻的处理时间是指在腔室10的内部使蚀刻气体与被蚀刻构件12接触的时间。
本实施方式涉及的蚀刻方法,能够使用如图1的蚀刻装置那样的在半导体元件制造工序中使用的一般的等离子体蚀刻装置来进行,能够使用的蚀刻装置的构成没有特别限定。
例如,氟丁烯气体供给用配管5与被蚀刻构件12的位置关系,只要能够使蚀刻气体与被蚀刻构件12接触,就没有特别限定。另外,关于腔室10的温度调节机构的构成,只要能够将被蚀刻构件12的温度调节为任意的温度即可,因此可以是在载台11上直接具备被蚀刻构件12的温度调节机构的构成,也可以利用外置的温度调节器从腔室10的外侧对腔室10进行加温或冷却。
另外,图1的蚀刻装置的材质,只要具有针对所使用的氟丁烯的耐腐蚀性、且能够减压至规定的压力的材质,就没有特别限定。例如,在与蚀刻气体接触的部分中,能够使用镍、镍基合金、铝、不锈钢、铂、铜、钴等金属、氧化铝(Al2O3)等陶瓷、氟树脂等。
作为镍基合金的具体例,可列举因科内尔合金(Inconel(注册商标))、哈氏合金(Hastelloy(注册商标))、蒙乃尔合金(Monel(注册商标))等。另外,作为氟树脂,例如可列举聚四氟乙烯(PTFE)、聚氯三氟乙烯(PCTFE)、四氟乙烯-全氟烷氧基乙烯共聚物(PFA)、聚偏二氟乙烯(PVDF)、特氟龙(Teflon(注册商标))、氟橡胶(Viton(注册商标))、全氟化橡胶(Kalrez(注册商标))等。
实施例
以下示出实施例以及比较例来更具体地说明本发明。制备了以各种浓度含有作为杂质的碳酰氟以及氟化氢的氟丁烯。以下说明氟丁烯的制备例。
(制备例1)
准备了被填充到容量10L的锰钢制容器中的1,1,1,4,4,4-六氟-2-丁烯。
从锰钢制容器中抽出1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氧浓度,结果为1103质量ppm。另外,从锰钢制容器中抽出1,1,1,4,4,4-六氟-2-丁烯的液相部来测定水分浓度,结果为384质量ppm。
再者,氧浓度使用株式会社岛津制作所制的气相色谱仪GC-2014进行测定。另外,水分浓度使用株式会社三菱化学分析科技(Mitsubishi Chemical Analytech Co.,Ltd)的卡尔费休水分测定装置CA-310进行测定。
接着,对上述的1,1,1,4,4,4-六氟-2-丁烯如以下那样实施了脱水处理。向不锈钢SUS316制的容量1L的筒容器中填充100mL的ユニオン昭和株式会社(UNION SHOWAK.K.)制的分子筛3A并密闭。一边加热该筒容器一边将内部减压后,冷却至-78℃。从锰钢制容器向冷却了的筒容器中移送500g的1,1,1,4,4,4-六氟-2-丁烯,使1,1,1,4,4,4-六氟-2-丁烯与分子筛3A接触来进行脱水处理。
将该筒容器的温度恢复至室温后,在管理为20℃的房间中静置24小时。然后,从静置后的筒容器中抽出液相部来测定1,1,1,4,4,4-六氟-2-丁烯的水分浓度,结果为2质量ppm。水分浓度的测定方法与前述同样。
接着,对于如上述那样实施了脱水处理的1,1,1,4,4,4-六氟-2-丁烯,使用具备SUS制蒸馏塔、容量5L的釜、冷凝器、馏分的接收器等的精制装置,如下述那样实施了脱氧气处理。将如上述那样实施了脱水处理的1,1,1,4,4,4-六氟-2-丁烯500g投入到釜中,将釜加温至30℃来进行了蒸馏。使用的SUS制蒸馏塔被填充有スルザーケムテック公司(SulzerChemtech)制的实验室填料(laboratory packing),理论塔板数设为10。另外,将冷凝器的温度设定为-40℃。
在蒸馏塔的塔顶部变为-40℃的时候,向接收器中抽出50g的馏分。然后,停止蒸馏,抽出残存于釜中的1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氧浓度,结果为4质量ppm。氧浓度的测定方法与前述同样。
接着,准备了容量1L的SUS316制筒容器(以下记为“筒容器A”。)。通过气相填充来向该筒容器A中填充400g的脱氧气处理结束后的在精制装置的釜中残存的1,1,1,4,4,4-六氟-2-丁烯(填充工序)。然后,将该筒容器A在被管理为20℃的房间中静置30天。将静置30天后的筒容器A内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-1。
从筒容器A中抽出样品1-1的1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氟化氢的浓度,结果氟化氢的浓度小于10质量ppm。再者,氟化氢的浓度使用サーモフィッシャーサイエンティフィック株式会社(Thermo Fisher Scientific)制的红外分光光度计Nicolet iS10进行测定。
接着,准备3个容量500mL的SUS316制筒容器(以下记为“筒容器B”、“筒容器C”、“筒容器D”。),从锰钢制容器向这些筒容器B、C、D中分别转移100g的1,1,1,4,4,4-六氟-2-丁烯(填充工序)。然后,在管理为20℃的房间中,筒容器B静置10天,筒容器C静置20天,筒容器D静置30天。
将静置后的筒容器B内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-2,将静置后的筒容器C内的1,1,1,4,4,4-六氟-2-丁烯作为样品1-3,将静置后的筒容器D的1,1,1,4,4,4-六氟-2-丁烯作为样品1-4。
从筒容器B中抽出样品1-2的1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氟化氢的浓度,结果氟化氢的浓度为210质量ppm。
同样地,从筒容器C中抽出样品1-3的1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氟化氢和碳酰氟的浓度,结果氟化氢的浓度为392质量ppm,碳酰氟的浓度为408质量ppm。再者,碳酰氟的浓度使用サーモフィッシャーサイエンティフィック株式会社制的红外分光光度计Nicolet iS10进行测定。
同样地,从筒容器D中抽出样品1-4的1,1,1,4,4,4-六氟-2-丁烯的气相部来测定氟化氢的浓度,结果氟化氢的浓度为588质量ppm。
(制备例2)
准备了被填充到容量10L的锰钢制容器中的1,1,1,4,4-五氟-2-丁烯。从锰钢制容器中抽出1,1,1,4,4-五氟-2-丁烯的气相部来测定氧浓度,结果为1322质量ppm。另外,从锰钢制容器中抽出1,1,1,4,4-五氟-2-丁烯的液相部来测定水分浓度,结果为399质量ppm。水分浓度和氧浓度的测定方法与前述同样。
除了作为氟丁烯使用了上述的1,1,1,4,4-五氟-2-丁烯这一点以外,进行与制备例1同样的操作,来制备了样品2-1~2-4。然后,测定了各个样品的氟化氢和样品2-3的碳酰氟的浓度。测定方法与前述同样。
样品2-1的氟化氢的浓度小于10质量ppm。
样品2-2的氟化氢的浓度为189质量ppm。
样品2-3的氟化氢的浓度为332质量ppm,碳酰氟的浓度为102质量ppm。
样品2-4的氟化氢的浓度为513质量ppm。
(制备例3)
准备了被填充到容量10L的锰钢制容器中的2,3,3,4,4,4-六氟-1-丁烯。从锰钢制容器中抽出2,3,3,4,4,4-六氟-1-丁烯的气相部来测定氧浓度,结果为1313质量ppm。另外,从锰钢制容器中抽出2,3,3,4,4,4-六氟-1-丁烯的液相部来测定水分浓度,结果为411质量ppm。水分浓度和氧浓度的测定方法与前述同样。
除了作为氟丁烯使用了上述的2,3,3,4,4,4-六氟-1-丁烯这一点以外,进行与制备例1同样的操作,来制备了样品3-1~3-4。然后,测定各个样品的氟化氢和样品3-3的碳酰氟的浓度。测定方法与前述同样。
样品3-1的氟化氢的浓度小于10质量ppm。
样品3-2的氟化氢的浓度为211质量ppm。
样品3-3的氟化氢的浓度为408质量ppm,碳酰氟的浓度为411质量ppm。
样品3-4的氟化氢的浓度为588质量ppm。
(制备例4)
准备了被填充到容量10L的锰钢制容器中的3,3,4,4,4-五氟-1-丁烯。从锰钢制容器中抽出3,3,4,4,4-五氟-1-丁烯的气相部来测定氧浓度,结果为1103质量ppm。另外,从锰钢制容器中抽出3,3,4,4,4-五氟-1-丁烯的液相部来测定水分浓度,结果为393质量ppm。水分浓度和氧浓度的测定方法与前述同样。
除了作为氟丁烯使用了上述的3,3,4,4,4-五氟-1-丁烯这一点以外,进行与制备例1同样的操作,来制备了样品4-1~4-4。然后,测定各个样品的氟化氢和样品4-3的碳酰氟的浓度。测定方法与前述同样。
样品4-1的氟化氢的浓度小于10质量ppm。
样品4-2的氟化氢的浓度为223质量ppm。
样品4-3的氟化氢的浓度为418质量ppm,碳酰氟的浓度为36质量ppm。
样品4-4的氟化氢的浓度为574质量ppm。
再者,将各样品的碳酰氟和氟化氢的浓度示于表1。
Figure BDA0004176570920000211
(实施例1)
在半导体晶片的表面上,以不层叠而分别在表面露出的方式形成厚度1000nm的硅氧化膜、厚度1000nm的硅氮化膜、和厚度1000nm的光致抗蚀剂膜,将其作为试验体。然后,使用样品1-1的1,1,1,4,4,4-六氟-2-丁烯来进行试验体的蚀刻。
作为蚀刻装置,使用了莎姆克(Samco)株式会社制的ICP蚀刻装置RIE-230iP。具体而言,将样品1-1的1,1,1,4,4,4-六氟-2-丁烯以流量10mL/分钟、氩气以流量40mL/分钟分别独立地导入到腔室内,在腔室内混合来制备蚀刻气体,以500W施加高频电压,在腔室内将蚀刻气体等离子体化。然后,在压力3Pa、温度20℃、偏置功率100W的蚀刻条件下进行了腔室内的试验体的蚀刻。再者,测定在此使用的氩气中的碳酰氟以及氟化氢的浓度,结果均未检测出。
蚀刻结束后,从腔室内取出试验体,测定硅氧化膜、硅氮化膜以及光致抗蚀剂膜的厚度,算出相对于蚀刻前的各膜的厚度的减少量。通过该减少量除以蚀刻时间来算出各个膜的蚀刻速度。其结果,光致抗蚀剂膜的蚀刻速度小于1nm/分钟,硅氧化膜的蚀刻速度为43nm/分钟,硅氮化膜的蚀刻速度为51nm/分钟。由该结果确认到:与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例2)
除了代替样品1-1而使用样品1-2的1,1,1,4,4,4-六氟-2-丁烯这一点以外,与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
其结果,光致抗蚀剂膜的蚀刻速度为2nm/分钟,硅氧化膜的蚀刻速度为47nm/分钟,硅氮化膜的蚀刻速度为53nm/分钟。由该结果确认到:与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例3)
除了代替样品1-1而使用样品1-3的1,1,1,4,4,4-六氟-2-丁烯这一点以外,与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
其结果,光致抗蚀剂膜的蚀刻速度为5nm/分钟,硅氧化膜的蚀刻速度为55nm/分钟,硅氮化膜的蚀刻速度为59nm/分钟。由该结果确认到:与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(比较例1)
除了代替样品1-1而使用样品1-4的1,1,1,4,4,4-六氟-2-丁烯这一点以外,与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。
其结果,光致抗蚀剂膜的蚀刻速度为18nm/分钟,硅氧化膜的蚀刻速度为61nm/分钟,硅氮化膜的蚀刻速度为64nm/分钟。由该结果确认到:作为蚀刻对象物的硅氧化膜和硅氮化膜的、相对于作为非蚀刻对象物的光致抗蚀剂膜的蚀刻选择性降低。
(实施例4)
向直径0.5英寸、长度10cm的SUS制管中填充了10mL的ユニオン昭和株式会社制的分子筛5A。将静置10天后的装有样品1-2的1,1,1,4,4,4-六氟-2-丁烯的筒容器B与填充了分子筛5A的上述SUS制管连接,从筒容器B向上述SUS制管中以100mL/分钟的流量流通样品1-2的1,1,1,4,4,4-六氟-2-丁烯。然后,测定从上述SUS制管的内部通过并从出口出来的1,1,1,4,4,4-六氟-2-丁烯中的氟化氢和碳酰氟的浓度。测定方法与前述同样。其结果,氟化氢的浓度、碳酰氟的浓度都小于10质量ppm。
接着,将上述SUS制管的出口与蚀刻装置的反应腔室连接,一边将从上述SUS制管的内部通过并从出口出来的1,1,1,4,4,4-六氟-2-丁烯向反应腔室导入一边实施与实施例1同样的蚀刻。
其结果,光致抗蚀剂膜的蚀刻速度小于1nm/分钟,硅氧化膜的蚀刻速度为44nm/分钟,硅氮化膜的蚀刻速度为53nm/分钟。由该结果确认到:与作为非蚀刻对象物的光致抗蚀剂膜相比,作为蚀刻对象物的硅氧化膜和硅氮化膜被选择性地蚀刻。
(实施例5~13以及比较例2~4)
除了代替样品1-1而使用表2中所记载的样品这一点以外,与实施例1同样地进行试验体的蚀刻,算出各个膜的蚀刻速度。将结果示于表2。
Figure BDA0004176570920000251
由上述的实施例的结果可知,当蚀刻气体中的氟化氢的浓度低时,与非蚀刻对象物相比,蚀刻对象物被选择性地蚀刻,蚀刻选择比成为10以上。另一方面,由上述的比较例的结果可知,当蚀刻气体中的氟化氢的浓度高时,蚀刻对象物的相对于非蚀刻对象物的蚀刻选择性降低,蚀刻选择比变得小于10。
附图标记说明
1…氟丁烯气体供给部
2…稀释气体供给部
3…氟丁烯气体流量控制装置
4…稀释气体流量控制装置
5…氟丁烯气体供给用配管
6…稀释气体供给用配管
7…氟丁烯气体压力控制装置
8…稀释气体压力控制装置
10…腔室
11...载台
12…被蚀刻构件
13…排气用配管
14…温度计
15…真空泵
16…压力计

Claims (11)

1.一种蚀刻气体,含有用通式C4HxFy表示且所述通式中的x为1以上且7以下、y为1以上且7以下、x+y为8的氟丁烯,
所述蚀刻气体含有氟化氢作为杂质,氟化氢的浓度为100质量ppm以下。
2.根据权利要求1所述的蚀刻气体,还含有碳酰氟作为杂质,碳酰氟的浓度为100质量ppm以下。
3.一种蚀刻气体的制造方法,是制造权利要求1或2所述的蚀刻气体的方法,具备脱水工序和脱氧气工序,
在所述脱水工序中,对含有水和氧气的所述氟丁烯即粗氟丁烯实施脱水处理,
在所述脱氧气工序中,对所述粗氟丁烯实施脱氧气处理。
4.根据权利要求3所述的蚀刻气体的制造方法,在进行所述脱水工序之后进行所述脱氧气工序。
5.根据权利要求3或4所述的蚀刻气体的制造方法,所述脱水处理是使所述粗氟丁烯与吸附剂接触而使所述吸附剂吸附水的处理。
6.根据权利要求3~5的任一项所述的蚀刻气体的制造方法,还具备填充工序,在所述填充工序中,向填充容器中填充实施了所述脱水工序和所述脱氧气工序的所述氟丁烯。
7.一种蚀刻方法,具备蚀刻工序,在所述蚀刻工序中,使权利要求1或2所述的蚀刻气体与具有蚀刻对象物和非蚀刻对象物的被蚀刻构件接触,与所述非蚀刻对象物相比选择性地蚀刻所述蚀刻对象物,所述蚀刻对象物是所述蚀刻气体的蚀刻对象,所述非蚀刻对象物不是所述蚀刻气体的蚀刻对象,所述蚀刻对象物含有硅。
8.根据权利要求7所述的蚀刻方法,所述蚀刻气体被填充到填充容器中,所述填充容器内的气相部的氟化氢的浓度为100质量ppm以下,在所述蚀刻工序中,从所述填充容器抽出所述气相部并使其与所述被蚀刻构件接触来蚀刻所述蚀刻对象物。
9.根据权利要求7或8所述的蚀刻方法,所述蚀刻气体为仅由所述氟丁烯构成的气体、或者含有所述氟丁烯和稀释气体的混合气体。
10.根据权利要求9所述的蚀刻方法,所述稀释气体为选自氮气、氦气、氩气、氖气、氪气和氙气之中的至少一种。
11.一种半导体元件的制造方法,使用权利要求7~10的任一项所述的蚀刻方法来制造半导体元件,
所述被蚀刻构件是具有所述蚀刻对象物和所述非蚀刻对象物的半导体基板,
所述制造方法具备处理工序,在所述处理工序中,通过所述蚀刻来从所述半导体基板除去所述蚀刻对象物的至少一部分。
CN202180070335.9A 2020-10-15 2021-10-08 蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法 Pending CN116325090A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2020-173919 2020-10-15
JP2020173919 2020-10-15
PCT/JP2021/037426 WO2022080272A1 (ja) 2020-10-15 2021-10-08 エッチングガス及びその製造方法、並びに、エッチング方法、半導体素子の製造方法

Publications (1)

Publication Number Publication Date
CN116325090A true CN116325090A (zh) 2023-06-23

Family

ID=81208086

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180070335.9A Pending CN116325090A (zh) 2020-10-15 2021-10-08 蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法

Country Status (8)

Country Link
US (1) US20230386853A1 (zh)
EP (1) EP4231334A1 (zh)
JP (1) JPWO2022080272A1 (zh)
KR (1) KR20230066074A (zh)
CN (1) CN116325090A (zh)
IL (1) IL302116A (zh)
TW (1) TWI798875B (zh)
WO (1) WO2022080272A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2377419A1 (fr) 1977-01-13 1978-08-11 Roussel Uclaf Nouveaux derives steroides 11b-substitues 1,3,5 (10) trieniques, leur procede de preparation et leur application comme medicament
JP4432230B2 (ja) * 2000-07-27 2010-03-17 日本ゼオン株式会社 フッ素化炭化水素の精製方法、溶剤、潤滑性重合体含有液および潤滑性重合体膜を有する物品
US6544319B1 (en) * 2002-01-16 2003-04-08 Air Products And Chemicals, Inc. Purification of hexafluoro-1,3-butadiene
US20050119512A1 (en) * 2003-04-29 2005-06-02 Central Glass Company, Limited Fluorobutene derivatives and process for producing same
US20080191163A1 (en) * 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
JP4978512B2 (ja) * 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
US8461401B2 (en) * 2010-03-26 2013-06-11 Honeywell International Inc. Method for making hexafluoro-2-butene
US8901360B2 (en) * 2010-05-21 2014-12-02 Honeywell International Inc. Process for cis 1,1,1,4,4,4-hexafluoro-2-butene
US8530709B2 (en) * 2010-05-21 2013-09-10 Honeywell International Inc. Process for the production of fluorinated alkenes
US8871987B2 (en) * 2010-12-10 2014-10-28 E I Du Pont De Nemours And Company Purification of cis-1,1,1,4,4,4-hexafluoro-2-butene via extractive distillation
CN105324356A (zh) * 2013-06-17 2016-02-10 日本瑞翁株式会社 高纯度1-氟代丁烷及等离子体蚀刻方法
JP6462699B2 (ja) * 2013-12-30 2019-01-30 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー チャンバクリーニング及び半導体エッチング用ガス
CN107406613B (zh) * 2015-03-24 2022-11-15 积水化学工业株式会社 酚醛树脂发泡体及酚醛树脂发泡体的制造方法
JP2021120351A (ja) * 2018-04-19 2021-08-19 Agc株式会社 フルオロオレフィンの製造方法

Also Published As

Publication number Publication date
TWI798875B (zh) 2023-04-11
EP4231334A1 (en) 2023-08-23
TW202225484A (zh) 2022-07-01
WO2022080272A1 (ja) 2022-04-21
JPWO2022080272A1 (zh) 2022-04-21
US20230386853A1 (en) 2023-11-30
IL302116A (en) 2023-06-01
KR20230066074A (ko) 2023-05-12

Similar Documents

Publication Publication Date Title
KR101969517B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
JP6822763B2 (ja) ドライエッチング方法
TWI438841B (zh) 電漿蝕刻方法
CN116325090A (zh) 蚀刻气体及其制造方法、蚀刻方法以及半导体元件的制造方法
CN116325088A (zh) 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法
JPWO2014129488A1 (ja) 高純度1h−ヘプタフルオロシクロペンテン
WO2022080268A1 (ja) エッチングガス、エッチング方法、及び半導体素子の製造方法
WO2022080267A1 (ja) エッチングガス、エッチング方法、及び半導体素子の製造方法
TWI815331B (zh) 蝕刻氣體及其製造方法、蝕刻方法以及半導體元件之製造方法
KR20230006007A (ko) 에칭 방법 및 반도체 소자의 제조 방법
WO2022080274A1 (ja) フルオロ-2-ブテンの保管方法
WO2022080275A1 (ja) フルオロ-2-ブテンの保管方法
CN113196454A (zh) 利用卤素氟化物的蚀刻方法、半导体的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination