CN104885203B - 用于高纵横比氧化物蚀刻的氟碳分子 - Google Patents

用于高纵横比氧化物蚀刻的氟碳分子 Download PDF

Info

Publication number
CN104885203B
CN104885203B CN201380068688.0A CN201380068688A CN104885203B CN 104885203 B CN104885203 B CN 104885203B CN 201380068688 A CN201380068688 A CN 201380068688A CN 104885203 B CN104885203 B CN 104885203B
Authority
CN
China
Prior art keywords
plasma
gas
silicon
etching
etching gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380068688.0A
Other languages
English (en)
Other versions
CN104885203A (zh
Inventor
C·安德森
R·古普塔
V·M·奥马尔吉
N·斯塔福德
C·杜萨拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to CN201710540813.3A priority Critical patent/CN107275206B/zh
Publication of CN104885203A publication Critical patent/CN104885203A/zh
Application granted granted Critical
Publication of CN104885203B publication Critical patent/CN104885203B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/26Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton
    • C07C17/263Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton by condensation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C23/00Compounds containing at least one halogen atom bound to a ring other than a six-membered aromatic ring
    • C07C23/02Monocyclic halogenated hydrocarbons
    • C07C23/06Monocyclic halogenated hydrocarbons with a four-membered ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/04Systems containing only non-condensed rings with a four-membered ring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)

Abstract

公开了用于在基质上的含Si层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等的蚀刻气体,和使用它的等离子体蚀刻方法。蚀刻气体为反‑1,1,1,4,4,4‑六氟‑2‑丁烯;顺‑1,1,1,4,4,4‑六氟‑2‑丁烯;六氟异丁烯;六氟环丁烷(反‑1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3‑);四氟环丁烷(1,1,2,2‑);或六氟环丁烷(顺‑1,1,2,2,3,4)。蚀刻气体可提供在含Si层与掩模材料之间改进的选择性,较少的对通道区域的损害、直垂直剖面和图案高纵横比结构中减少的卷曲。

Description

用于高纵横比氧化物蚀刻的氟碳分子
相关申请交叉引用
本申请要求2012年10月30日提交的美国申请No.61/720,139的优先权,通过引用将其全部内容并入本文中。
技术领域
公开了用于在基质上的含Si层中等离子体蚀刻高纵横比通道孔、栅槽、阶梯触点、电容器孔、接触孔等的蚀刻气体。还公开了使用它的等离子体蚀刻方法。
背景
在半导体工业中的存储应用如DRAM和2D NAND中,等离子体蚀刻从半导体基质上除去含硅层,例如SiO或SiN层。对于新型存储应用如3D NAND(US 2011/0180941,Hwang等人),多个SiO/SiN或SiO/poly-Si层堆栈的高纵横比蚀刻是关键的。优选,蚀刻剂具有在掩模与待蚀刻层之间的高选择性。此外,蚀刻剂优选蚀刻结构,使得垂直剖面为直的而不具有卷曲。3D NAND堆栈可包含其它含硅层。
传统上,等离子体蚀刻使用由气体来源(例如含氢、含氧或含氟气体)产生活性物种的等离子体源进行。活性物种然后与含Si层反应以形成氟碳阻挡顶层(blockingoverlayer)和挥发性物种。挥发性物种通过反应器中由真空泵保持的低压除去。优选,掩模材料不被活性物种蚀刻。掩模材料可包含以下中的一种:光致抗蚀剂、无定形碳、多晶硅、金属或不蚀刻的其它硬掩模。
传统的蚀刻气体包括cC4F8(八氟环丁烷)、C4F6(六氟-1,3-丁二烯)、CF4、CH2F2、CH3F和/或CHF3。这些蚀刻气体在蚀刻期间也可形成聚合物。聚合物充当图案蚀刻结构侧壁上的保护层。该聚合物保护层防止可能导致非垂直结构、卷曲和尺寸变化的离子和自由基蚀刻侧壁。建立了F:C比、SiO:SiN选择性与聚合物沉积速率之间的关联(参见例如Liebermanand Lichtenberg,Principles of Plasma Discharges and Materials Processing,第2版,Wiley-Interscience,A John Wiley&Sons Publication,2005,第595-596页;和US6387287,Hung等人的图5,其显示出对较低的F/C比值而言,提高的对氮化物的覆盖层选择性)。
传统的干蚀刻方法如化学蚀刻不能提供必须的高纵横比(>20:1),因为化学蚀刻期间需要的高压条件对形成的孔会具有有害影响。传统的化学品如C4F8和C4F6也可能不足以提供所需的高纵横比,因为蚀刻厂商快速地耗尽用于进行传统化学工作的有效参数如RF功、RF频率、脉冲方案和调整方案。传统化学在等离子体蚀刻方法期间不再提供在高纵横比侧壁上的足够聚合物沉积。另外,侧壁上的其中x和y各自独立地为1-4的CxFy聚合物对蚀刻敏感。因此,蚀刻的图案可能不是垂直的且结构可能显示出卷曲、尺寸变化和/或图案瓦解。
图案蚀刻的一个关键问题是卷曲。卷曲通常是由于通常为无定形碳材料的掩模层的侧壁蚀刻。无定形碳材料可通过等离子体中的氧自由基蚀刻,这可导致增加的掩模开口并产生弓状蚀刻结构。
US6569774,Trapp公开了用于形成通过氧化硅层的高纵横比接触开口的等离子体蚀刻方法。Trapp公开了含氮气体如NH3包含在氟碳(CxFy)和氟烃(CxFyHz)蚀刻化学中以改进抗蚀选择性并减少条痕。公开了一列35种氟碳和氟烃化学品,但没有提供结构式、CAS号或异构体信息。
WO2010/100254,Solvay Fluor GmbH公开了某些氢氟烯烃在多种方法中,包括作为用于半导体蚀刻或室清洗的蚀刻气体的用途。氢氟烯烃可包括至少一种选自以下各组a)和b)化合物的混合物:
a)(Z)-1,1,1,3-四氟丁-2-烯、(E)-1,1,1,3-四氟丁-2-烯或2,4,4,4-四氟丁-1-烯,和
b)1,1,1,4,4,4-六氟丁-2-烯、1,1,2,3,4,4-六氟丁-2-烯、1,1,1,3,4,4-六氟丁-2-烯和1,1,1,2,4,4-六氟丁-2-烯。
技术发展水平的垂直3D NAND结构要求在材料的交替堆栈中非常高的纵横比。
仍需要用于等离子体应用中以形成高纵横比孔的新蚀刻气体组合物。
符号和命名
某些缩写、符号和术语用于整个以下描述和权利要求书中,包括:
如本文所用,术语“蚀刻”指等离子体蚀刻方法(即干蚀刻方法),其中离子轰击促进垂直方向上的化学反应,使得沿着被掩蔽特征的边缘以相对于基质的直角形成垂直侧壁(Manos and Flamm,Plasma Etching An Introduction,Academic Press,Inc.1989,第12-13页)。蚀刻方法在基质中产生孔,例如通路、槽、通道孔、栅槽、阶梯触点、电容器孔、接触孔等。
术语“图案蚀刻”或“图案化蚀刻”指蚀刻非平面结构,例如含硅层堆栈上的图案化掩模层。术语“掩模”指抗蚀刻的层。掩模层可位于待蚀刻的层之上或之下。
术语“选择性”意指一种材料的蚀刻速率与另一材料的蚀刻速率的比。术语“选择性蚀刻”意指蚀刻一种材料多于另一种材料,或者换言之,两种材料之间具有大于或小于1:1的蚀刻选择性。
如本文所用,不定冠词“(a/an)”意指一个(一种)或多个(多种)。
来自周期表的元素的标准缩写用于本文中。应当理解元素可通过这些缩写指代(例如S指硫,Si指硅,H指氢等)。
如本文所用,缩写“NAND”指“非AND”或“不是AND”栅;缩写“2D”指平面基质上的二维栅结构;缩写“3D”指三维或垂直栅结构,其中栅结构在垂直方向上堆叠;缩写“DRAM”指动态随机存取存储器。
请注意含Si膜,例如SiN和SiO在整个说明书和权利要求书中列出而不提及它们的恰当化学计量。含硅层可包括纯硅(Si)层,例如结晶Si、多晶硅(polySi或多晶Si),或者无定形硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、l、m和n包括性地范围为1-6。优选氮化硅为SikNl,其中k和l各自范围为0.5-1.5。更优选氮化硅为Si1N1。优选氧化硅为SinOm,其中n范围为0.5-1.5且m范围为1.5-3.5。更优选氧化硅为SiO2或SiO3。含硅层还可以为氧化硅基介电材料如有机基或氧化硅基低k介电材料如Applied Materials,Inc的Black Diamond II或III材料。含硅层还可包括掺杂剂,例如B、C、P、As和/或Ge。
概述
公开了蚀刻含硅膜的方法。将蚀刻气体引入包含在基质上的含硅膜的等离子体反应室中。蚀刻气体为反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);或六氟环丁烷(顺-1,1,2,2,3,4)。将惰性气体引入等离子体反应室中。将等离子体活化以产生能够选择性地由基质蚀刻含硅膜的经活化的蚀刻气体。所公开的方法可包括一个或多个以下方面:
●蚀刻气体为反-1,1,1,4,4,4-六氟-2-丁烯;
●蚀刻气体为顺-1,1,1,4,4,4-六氟-2-丁烯;
●蚀刻气体为六氟异丁烯;
●蚀刻气体为六氟环丁烷(反-1,1,2,2,3,4);
●蚀刻气体为五氟环丁烷(1,1,2,2,3-);
●蚀刻气体为四氟环丁烷(1,1,2,2-);
●蚀刻气体为六氟环丁烷(顺-1,1,2,2,3,4);
●经活化的蚀刻气体选择性地与含硅膜反应以形成挥发性副产物;
●将挥发性副产物从等离子体反应室中除去;
●惰性气体选自He、Ar、Xe、Kr和Ne;
●惰性气体为Ar;
●在引入等离子体反应室中以前将蚀刻气体和惰性气体混合以产生混合物;
●将蚀刻气体与惰性气体分开地引入等离子体反应室中;
●将惰性气体连续地引入等离子体反应室中并将蚀刻气体以脉冲引入等离子体反应室中;
●惰性气体包含引入等离子体反应室中的蚀刻气体和惰性气体总体积的约50%v/v至约95%v/v;
●将氧化剂引入等离子体反应室中;
●不将氧化剂引入等离子体反应室中;
●氧化剂选自O2、CO、CO2、NO、N2O和NO2
●氧化剂为O2
●在引入等离子体反应室中以前将蚀刻气体和氧化剂混合;
●将蚀刻气体与氧化剂分开地引入等离子体反应室中;
●将氧化剂连续地引入等离子体反应室中并将蚀刻气体以脉冲引入等离子体反应室中;
●氧化剂包含引入等离子体反应室中的蚀刻气体和氧化剂总体积的约5%v/v至约100%v/v;
●含硅膜包含氧化硅、氮化硅、多晶硅或其组合的层;
●含硅膜包含氧原子、氮原子、碳原子或其组合;
●含硅膜不包含碳化硅;
●含硅膜选择性地由无定形碳层蚀刻;
●含硅膜选择性地由光致抗蚀剂层蚀刻;
●含硅膜选择性地由多晶硅层蚀刻;
●含硅膜选择性地由金属接触层蚀刻;
●含硅膜为氧化硅层;
●氧化硅层为多孔SiCOH膜;
●由无定形碳层选择性蚀刻氧化硅层;
●由光致抗蚀剂层选择性蚀刻氧化硅层;
●由多晶硅层选择性蚀刻氧化硅层;
●由金属接触层选择性蚀刻氧化硅层;
●由SiN层选择性蚀刻氧化硅层;
●含硅膜为氮化硅层;
●由无定形碳层选择性蚀刻氮化硅层;
●由图案化光致抗蚀剂层选择性蚀刻氮化硅层;
●由多晶硅层选择性蚀刻氮化硅层;
●由金属接触层选择性蚀刻氮化硅层;
●由SiO层选择性蚀刻氮化硅层;
●由硅层选择性蚀刻氧化硅和氮化硅;
●在含硅膜中产生具有约10:1至约100:1的纵横比的孔;
●生产栅槽;
●生产阶梯触点;
●生产通道孔;
●生产具有约60:1至约100:1的纵横比的通道孔;
●生产具有约40nm至约50nm的直径的通道孔;
●通过将第二种气体引入等离子体反应室中而改进选择性;
●第二种气体选自cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I和SO2
●第二种气体为cC5F8
●第二种气体为cC4F8
●第二种气体为C4F6
●在引入等离子体反应室中以前将蚀刻气体和第二种气体混合;
●将蚀刻气体与第二种气体分开地引入等离子体反应室中;
●将约1%v/v至约99.9%v/v第二种气体引入室中;
●通过约25W至约10,000W范围的RF功将等离子体活化;
●等离子体反应室具有约1毫托至约10托的压力;
●将蚀刻气体以约5sccm至约1slm的流速引入等离子体反应室中;
●将基质保持在约-196℃至约500℃的温度下;
●将基质保持在约-120℃至约300℃的温度下;
●将基质保持在约-10℃至约40℃的温度下;
●通过四极质谱仪(Quadropole mass spectrometer)、光电直读光谱仪(opticalemission spectrometer)、FTIR或其它辐射/离子测量工具测量经活化的蚀刻气体;
●通过施加RF功产生等离子体。
还公开了选自反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);或六氟环丁烷(顺-1,1,2,2,3,4)的等离子体蚀刻化合物。等离子体蚀刻化合物具有至少99.9体积%的纯度和小于0.1体积%痕量气体杂质。包含在所述痕量气体杂质中的含氮和含氧气体的总含量为小于150体积ppm。所公开的等离子体蚀刻化合物可包括一个或多个以下方面:
●蚀刻化合物为反-1,1,1,4,4,4-六氟-2-丁烯;
●蚀刻化合物为顺-1,1,1,4,4,4-六氟-2-丁烯;
●蚀刻化合物为六氟异丁烯;
●蚀刻化合物为六氟环丁烷(反-1,1,2,2,3,4);
●蚀刻化合物为五氟环丁烷(1,1,2,2,3-);
●蚀刻化合物为四氟环丁烷(1,1,2,2-);
●蚀刻化合物为六氟环丁烷(顺-1,1,2,2,3,4);
●含氧气体为水;
●含氧气体为CO2
●含氮气体为N2;和
●等离子体蚀刻化合物具有小于20重量ppm的水含量。
附图简述
为进一步理解本发明的性质和目的,应连同附图一起参考以下详述,其中类似的元件以相同或类似的参考数字给出,且其中:
图1为反-1,1,1,4,4,4-六氟-2-丁烯的结构式;
图2为顺-1,1,1,4,4,4-六氟-2-丁烯的结构式;
图3为反-1,1,2,2,3,4-六氟环丁烷的结构式;
图4为顺-1,1,2,2,3,4-六氟环丁烷的结构式;
图5为六氟异丁烯的结构式;
图6为1,1,1,2,4,4,4-七氟-2-丁烯的结构式;
图7为1,1,2,2,3-五氟环丁烷的结构式;
图8为1,1,2,2-四氟环丁烷的结构式;
图9为显示3D NAND堆栈中的示例层的图;
图10为显示DRAM堆栈中的示例层的图;
图11为绘出由C4F6H2产生的物种部分的体积相对于能量(以eV表示)的质谱(MS)图;
图12为绘出由C4F8产生的物种部分的体积相对于能量的MS图;
图13为绘出由反-1,1,1,4,4,4-六氟-2-丁烯产生的物种部分的体积相对于能量的MS图;
图14为绘出由六氟异丁烯产生的物种部分的体积相对于能量的MS图;
图15为关于反-1,1,2,2,3,4-六氟环丁烷,SiO2蚀刻速率相对于氧气流(以sccm表示)的图;
图16为关于cC4F5H3,SiO2蚀刻速率相对于氧气流的图;
图17为关于反-1,1,2,2,3,4-六氟环丁烷,选择性相对于氧气流的图;
图18为关于cC4F5H3,选择性相对于氧气流的图;
图19为使用15sccm cC4F8且不使用氧气的10分钟蚀刻结果的扫描电子显微镜照片(SEM);
图20为使用15sccm cC4F6H2和12sccm氧气的10分钟蚀刻结果的SEM;
图21为使用15sccm cC4F5H3和22sccm氧气的10分钟蚀刻结果的SEM;和
图22为显示H取代、双键和O在C4F8分子上的加成的效果的流程图。
优选实施方案描述
公开了用于在含硅层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等的蚀刻气体。所公开的蚀刻气体可提供相对于掩模层的较高选择性且在高纵横比结构中无剖面变形。
等离子体蚀刻气体可提供含Si层与掩模材料之间改进的选择性,较少的对通道区域的损害和图案高纵横比结构中减少的卷曲。等离子体蚀刻气体还可蚀刻通过polySi、SiO和/或SiN的交替层,产生垂直蚀刻剖面。
以下化合物形成所公开的等离子体蚀刻气体:反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);或六氟环丁烷(顺-1,1,2,2,3,4)。这些化合物是市售的。
所公开的等离子体蚀刻气体以大于99.9%v/v纯度,优选以大于99.99%v/v纯度,更优选以大于99.999%v/v纯度提供。所公开的蚀刻气体包含小于0.1体积%痕量气体杂质,其中小于150体积ppm含氮和含氧气体,例如N2和/或H2O和/或CO2包含在所述痕量气体杂质中。优选,等离子体蚀刻气体中的水含量为小于20重量ppm。提纯产物可通过蒸馏和/或使气体或液体通过合适的吸附剂如4A分子筛而制备。
在一个实施方案中,所公开的等离子体蚀刻气体包含小于5%v/v,优选小于1%v/v,更优选小于0.1%v/v,甚至更优选小于0.01%v/v的其任何异构体。该实施方案可提供较好的方法重复性。该实施方案可通过将气体或液体蒸馏而制备。在一个可选实施方案中,所公开的等离子体蚀刻气体可包含5%v/v至50%v/v一种或多种其异构体,当异构体混合物提供改进的工艺参数或者目标异构体的分离太难或昂贵时特别如此。例如,异构体混合物可降低对通向等离子体反应器的两个或更多个气体管线的需要。
图1为反-1,1,1,4,4,4-六氟-2-丁烯的结构式。反-1,1,1,4,4,4-六氟-2-丁烯的CAS号为66711-86-2。反-1,1,1,4,4,4-六氟-2-丁烯具有8.5℃的沸点。
图2为顺-1,1,1,4,4,4-六氟-2-丁烯的结构式。顺-1,1,1,4,4,4-六氟-2-丁烯的CAS号为692-49-9。顺-1,1,1,4,4,4-六氟-2-丁烯具有33℃的沸点。
图3为反-1,1,2,2,3,4-六氟环丁烷的结构式。反-1,1,2,2,3,4-六氟环丁烷的CAS号为23012-94-4。反-1,1,2,2,3,4-六氟环丁烷具有27℃的沸点。
图4为顺-1,1,2,2,3,4-六氟环丁烷的结构式。顺-1,1,2,2,3,4-六氟环丁烷的CAS号为22819-47-2。顺-1,1,2,2,3,4-六氟环丁烷具有63℃的沸点。
图5为六氟异丁烯的结构式。六氟异丁烯的CAS号为382-10-5。六氟异丁烯具有14.5℃的沸点。
图6为1,1,1,2,4,4,4-七氟-2-丁烯的结构式。1,1,1,2,4,4,4-七氟-2-丁烯的CAS号为760-42-9。1,1,1,2,4,4,4-七氟-2-丁烯具有8℃的沸点。
图7为1,1,2,2,3-五氟环丁烷的结构式。1,1,2,2,3-五氟环丁烷的CAS号为2253-02-3。1,1,2,2,3-五氟环丁烷具有53℃的沸点。
图8为1,1,2,2-四氟环丁烷的结构式。1,1,2,2-四氟环丁烷的CAS号为374-12-9。1,1,2,2-四氟环丁烷具有50℃的沸点。
这些化合物中的一些在室温和大气压力下为气体。对于非气体(即液体)化合物,气体形式可通过常规蒸发步骤,例如直接蒸发而将化合物蒸发或者通过鼓泡而产生。在将它引入反应器中以前,可将化合物以液态供入气化器中,在那里将它蒸发。作为选择,化合物可通过使载气通入包含化合物的容器或者通过将载气鼓泡进入化合物中而蒸发。载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡也可除去存在于蚀刻气体中的任何溶解氧。然后可将载气和化合物作为蒸气引入反应器中。
如果需要的话,可将包含化合物的容器加热至容许化合物具有足以输送至蚀刻工具中的蒸气压力的温度。可将容器保持在例如约25℃至约100℃,优选约25℃至约50℃范围内的温度下。更优选,将容器保持在室温(~25℃)下以避免加热通向蚀刻工具的管线。本领域技术人员认识到容器的温度可以以已知的方式调整以控制蒸发的化合物的量。
所公开的蚀刻气体适于在一个或多个含Si层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等且与目前和未来的掩模材料的产生相容,因为它们引起沿着高纵横比结构的良好剖面很少至不引起对掩模的损害。为实现那些性能,所公开的蚀刻气体可在蚀刻期间沉积抗蚀聚合物层以帮助降低蚀刻方法期间氧和氟基团的直接影响。所公开的化合物还可降低蚀刻期间对poly-Si通道结构的损害(参见US 2011/0180941,Hwang等人)。优选,蚀刻气体在蚀刻方法期间为适当地挥发性且稳定的以输送至反应器/室中。
所公开的蚀刻气体可用于等离子体蚀刻基质上的含硅层。所公开的等离子体蚀刻方法可用于生产半导体器件,例如NAND或3D NAND栅或者闪存存储器或DRAM存储器。所公开的蚀刻气体可用于其它应用领域中,例如不同的线前端(FEOL)和线后端(BEOL)蚀刻应用。另外,所公开的蚀刻气体还可用于在3D TSV(Through Silicon Via)蚀刻应用中蚀刻Si以将存储基质互连在逻辑基质上。
等离子体蚀刻方法包括提供具有置于其中的基质的等离子体反应室。等离子体反应室可以为器件内进行蚀刻方法的任何围场或室,例如且不限于反应性离子蚀刻(RIE)、具有单频或多频RF源的双电容耦合等离子体(CCP)、感应耦合等离子体(ICP)或微波等离子体反应器,或者能够选择性地除去一部分含Si层或产生活性物种的其它类型的蚀刻系统。本领域技术人员认识到不同的等离子体反应室设计提供不同的电子温度控制。合适的市售等离子体反应室包括但不限于以商标eMAXTM出售的Applied Materials磁增强反应性离子刻蚀机或者以商标FlexTM出售的Lam Research Dual CCP反应性离子蚀刻机介电蚀刻产品族。
等离子体反应室可包含一个或多于一个基质。例如,等离子体反应室可包含1-200个具有25.4-450mm直径的硅片。该一个或多个基质可以为用于半导体、光伏器件、平板或LCD-TFT器件生产中的任何合适基质。基质可具有在其上的多个膜或层,包括一个或多个含硅膜或层。基质可以为图案化或未图案化的。合适层的实例包括但不限于硅(例如无定形硅、多晶硅、结晶硅,其任一种可用B、C、P、As和/或Ge进一步p-掺杂或n-掺杂)、二氧化硅、氮化硅、氧化硅、氮氧化硅、钨、氮化钛、氮化钽,掩模材料如无定形碳、抗反射涂层、光致抗蚀剂材料或其组合。氧化硅层可形成介电材料,例如有机基或氧化硅基低k介电材料(例如多孔SiCOH膜)。示例的低k介电材料以商品名Black Diamond II或III由Applied Materials出售。另外,可使用包含钨或贵金属(例如铂、钯、铑或金)的层。
基质可包含其上多个含硅层的堆栈,类似于图9和10中所示那些。在图9中,7个SiO/SiN层的堆栈位于硅片基质顶上(即ONON或TCAT技术)。本领域技术人员认识到一些技术用polySi层替代SiN层(即P-BICS技术中的SiO/polySi层)。本领域技术人员进一步认识到3D NAND堆栈中的SiO/SiN或SiO/poly-Si层数目可变化(即可包含多于或少于所述7个SiO/SiN层)。无定形碳掩模层位于7个SiO/SiN层顶上。抗反射涂层层位于无定形碳掩模顶上。图案光致抗蚀剂层位于抗反射涂层顶上。图9中层的堆栈反映类似于3D NAND栅中所用那些的层。在图10中,厚SiO层位于硅片基质顶上。无定形碳掩模层位于厚SiO层顶上。抗反射涂层层位于无定形碳掩模顶上。图案光致抗蚀剂层位于抗反射涂层顶上。图10中层的堆栈反映类似于DRAM栅中所用那些的层。所公开的蚀刻气体选择性地蚀刻含硅层(即SiO、SiN、polySi)多于无定形碳掩模、抗反射涂层或光致抗蚀剂层。那些层可在相同或不同的反应室中通过其它蚀刻气体除去。本领域技术人员认识到提供图9和10中层的堆栈仅用于示例。
将所公开的蚀刻气体引入包含基质和含硅层的等离子体反应室中。气体可以以约0.1sccm至约1slm范围内的流速引入室中。例如,对于200mm晶片尺寸,气体可以以约5sccm至约50sccm范围内的流速引入室中。作为选择,对于450mm晶片尺寸,气体可以以约25sccm至约250sccm范围内的流速引入室中。本领域技术人员认识到流速可根据工具而变化。
还将惰性气体引入等离子体反应室中以维持等离子体。惰性气体可以为He、Ar、Xe、Kr、Ne或其组合。可将蚀刻气体和惰性气体在引入室中以前混合,其中惰性气体包含所得混合物的约50%v/v至约95%v/v。作为选择,可将惰性气体连续引入室中,同时将蚀刻气体以脉冲引入室中。
所公开的蚀刻气体和惰性气体可通过等离子体活化以产生经活化的蚀刻气体。等离子体将蚀刻气体分解成自由基形式(即经活化的蚀刻气体)。等离子体可通过施加RF或DC功而产生。等离子体可用约25W至约10,000W范围内的RF功产生。等离子体可产生或本身存在于反应器中。等离子体可以用在两个电极上施加的RF以Dual CCP或ICP模式产生。等离子体的RF频率可以为200KHz至1GHz。不同频率的不同RF源可耦合并施加在相同电极上。等离子体RF脉冲可进一步用于控制分子分裂和在基质上反应。本领域技术人员认识到适于该等离子体处理的方法和设备。
四极质谱仪(QMS)、光电直读光谱仪、FTIR或其它辐射/离子测量工具可测量经活化的蚀刻气体以测定所产生的物种的类型和数目。如果需要的话,可调整蚀刻气体和/或惰性气体的流速以提高或降低产生的基团物种的数目。
可将所公开的蚀刻气体在引入等离子体反应室中以前或在等离子体反应室内部与其它气体混合。优选,可将气体在引入室中以前混合以提供均匀浓度的进入气体。在另一选择方案中,蚀刻气体可不依赖于其它气体而引入室中,例如当两种或更多种气体反应时。在另一可选方案中,蚀刻气体和惰性气体为蚀刻方法期间使用的仅有两种气体。
示例的其它气体包括但不限于氧化剂如O2、O3、CO、CO2、NO、N2O、NO2及其组合。可将所公开的蚀刻气体和氧化剂在引入等离子体反应室中以前混合在一起。作为选择,可将氧化剂连续引入室中并将蚀刻气体以脉冲引入室中。氧化剂可占引入室中的混合物的约5%v/v至约100%v/v(其中对于连续引入选择方案,100%v/v表示纯氧化剂的引入)。
可与蚀刻气体混合的其它示例气体包括其它蚀刻气体,例如cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I和SO2。蚀刻气体的蒸气和其它气体可在引入等离子体反应室中以前混合。其它蚀刻气体可占引入室中的混合物的约1%v/v至约99.9%v/v。
含Si层和经活化的蚀刻气体反应形成挥发性副产物,将其从等离子体反应室中除去。无定形碳掩模、抗反射涂层和光致抗蚀剂层对经活化的蚀刻气体呈较小的反应性。
等离子体反应室内的温度和压力保持在适于含硅层与经活化的蚀刻气体反应的条件下。例如,室中的压力可保持为如蚀刻参数所要求的约0.1毫托至约1000托,优选约1毫托至约10托,更优选约10毫托至约1托,更优选约10毫托至约100毫托。同样,室中的基质温度可以为约-196℃至约500℃,优选-120℃至约300℃,更优选-10℃至约40℃。室壁温取决于方法要求可以为约-196℃至约300℃。
含Si层与经活化的蚀刻气体之间的反应导致含Si层从基质上各向异性脱除。氮、氧和/或碳原子也可存在于含Si层中。脱除是由于含Si层从等离子体离子物理溅射(由等离子体促进)和/或通过等离子体物种化学反应以将Si转化成挥发性物种如SiFx,其中x为1-4。
经活化的蚀刻气体优选显示出对掩模的高选择性,并蚀刻通过SiO和SiN的交替层,产生不具有卷曲的垂直蚀刻剖面,这对3D NAND应用而言是重要的。对于其它应用,例如DRAM和2D NAND,例如等离子体活化的蚀刻气体可选择性地由SiN蚀刻SiO。等离子体活化的蚀刻气体优选选择性地由掩模层如无定形碳、光致抗蚀剂、多晶硅或碳化硅;或者由金属接触层如Cu;或者由由SiGe组成的通道区域或多晶硅区域选择性蚀刻SiO和/或SiN。
使用所公开蚀刻气体的所公开蚀刻方法在含Si层中产生通道孔、栅槽、阶梯触点、电容器孔、接触孔等。所得孔可具有约10:1至约100:1的纵横比和约40nm至约50nm的直径。例如,本领域技术人员认识到通道孔蚀刻在含Si层中产生纵横比大于60:1的孔。
在一个非限定性示例等离子体蚀刻方法中,使用受控气流装置将反-1,1,1,4,4,4-六氟-2-丁烯引入200mm Dual CCP等离子体蚀刻工具中。受控气流装置可以为质量流控制器。在高沸点分子的情况下,可使用来自Brooks Automation(No.GF120XSD),MKSInstruments的专业低压降质量流控制器。将等离子体反应室的压力设置为约30毫托。不需要气体来源加热,因为该化合物的蒸气压力在25℃下为约1340托。两个CCP电极之间的距离保持为1.35cm,且顶电极RF功固定为750W。底电极RF功变化以分析分子的性能。等离子体反应室包含其上具有24对SiO和SiN层的基质,类似于图9中所示那些。在该方法以前,通过氟碳和含氧气体将ARC层除去并通过含氧气体将APF层除去。将氩气以250sccm流速独立地引入室中。将反-1,1,1,4,4,4-六氟-2-丁烯以15sccm独立地引入室中。将O2以0-20sccm独立地引入室中以测定最佳蚀刻条件。产生纵横比等于或大于30:1的孔,其可用作垂直NAND中的通道孔。
在另一非限定性示例等离子体蚀刻方法中,使用受控气流装置将六氟异丁烯引入200mm Dual CCP等离子体蚀刻工具中。受控气流装置可以为质量流控制器。在高沸点分子的情况下,可使用来自Brooks Automation(No.GF120XSD),MKS Instruments的专业低压降质量流控制器等。将等离子体反应室的压力设置为约30毫托。不需要气体来源加热,因为该化合物的蒸气压力在20℃下为约900托。两个CCP电极之间的距离保持为1.35cm,且顶电极RF功固定为750W。底电极RF功变化以分析分子的性能。等离子体反应室包含其上具有厚SiO层的基质,类似于图10中所示层。在该方法以前,通过氟碳和含氧气体将ARC层除去并通过含氧气体将APF层除去。将氩气以250sccm流速独立地引入室中。将六氟异丁烯以15sccm独立地引入室中。将O2以0-20sccm独立地引入室中以测定最佳蚀刻条件。产生纵横比等于或大于10:1的孔,其可用作DRAM中的接触孔。
实施例
提供以下非限定性实施例以进一步阐述本发明的实施方案。然而,实施例不意欲为全包括性的且不意欲限制本文所述发明的范围。
以下试验使用SAMCO10-NR反应性离子蚀刻机(RIE)或Lam4520XLETM先进介电蚀刻系统(200mm双频电容耦合等离子体(CCP)离子蚀刻)进行。
实施例1
将C4F6和环C4F8直接注入四极质谱仪(QMS)中并由10-100eV收集数据。结果显示于图11和12中。来自C4F6的链段具有比来自C4F8的链段更低的F:C比,这导致较高的聚合物沉积速率并可改进选择性。
聚合物通过随着1sccm氩气以30sccm引入RIE等离子体反应室中而沉积。室中的压力设置为5Pa。等离子体设置为300W。聚合物以100nm/min由cC4F8沉积并显示出0.90F:C比。聚合物以280nm/min由C4F6沉积并显示出0.76F:C比。C4F6显示出高得多的沉积速率且所得膜显示出聚合物中较低的F:C比,这可表明提高的交联。
实施例2
聚合物在与实施例1相同的条件(即30sccm蚀刻气体,1sccm Ar,5Pa和300W)下由环C4F6H2和环C4F5H3沉积。环C4F6H2和环C4F5H3类似于环C4F8,但2或3个F原子用H替代。聚合物以150nm/min由环C4F6H2沉积并显示出0.59F:C比。聚合物以200nm/min由环C4F5H3沉积并显示出0.50F:C比。环丁烷分子上提高的氢含量产生提高的聚合物沉积速率和所得聚合物中降低的F:C比。
实施例3
将具有相同化学计量的两种分子(即C4F6H2)直接注入四极质谱仪(QMS)中并由10-100eV收集数据。关于反-1,1,1,4,4,4-六氟-2-丁烯(CAS No 66711-86-2)的结果显示于图13中。关于六氟异丁烯(CAS No 382-10-5)的结果显示于图14中。在较高的能量下,由六氟异丁烯产生比由反-1,1,1,4,4,4-六氟-2-丁烯产生的更多的CF3链段和更少的C3F3H2链段。来自C4F6的链段具有比C4F8的链段更低的F:C比,这导致较高的聚合物沉积速率并可改进选择性。
聚合物在与实施例1相同的条件(即30sccm蚀刻气体,1sccm Ar,5Pa和300W)下由两种C4F6H2化合物沉积。聚合物以250nm/min由反-1,1,1,4,4,4-六氟-2-丁烯沉积并显示出0.53F:C比。聚合物以220nm/min由环六氟异丁烯沉积并显示出0.53F:C比。
实施例4
下表汇总了多种蚀刻气体的试验结果:
表1
1cC4F8=八氟环丁烷;C4F6=六氟丁二烯;C4F8=八氟-2-丁烯
230sccm蚀刻气体,1sccm Ar,5Pa和300W
基于这些结果,最低的聚合物沉积速率显示出所得聚合物(cC4F8和C4F8)中最高的F:C比。具有双键的四个分子(即第2-5行)之间的大的聚合物沉积速率(以nm/min表示)差说明双键的包含不仅仅控制聚合。而是,沉积速率更接近地遵循分裂。换言之,产生具有较高F:C比的链段的分子具有降低的聚合物沉积速率。
实施例5
分析提高H对SiO2蚀刻速率的影响。关于反-1,1,2,2,3,4-六氟环丁烷的SiO2蚀刻速率相对于氧气流(以sccm表示)的图显示于图15中。关于cC4F5H3的SiO2蚀刻速率相对于氧气流的图显示于图16中。用H替代一个F产生较高的氧流速和较窄的工艺窗。
还分析提高H对相对于无定形碳(a-C)、光致抗蚀剂(PR)和氮化物的氧化物选择性的影响。关于反-1,1,2,2,3,4-六氟环丁烷的选择性相对于氧气流的图在图17中提供。关于cC4F5H3的选择性相对于氧气流的图显示于图18中。图17和18中的分子流速与图15和16中的那些相同(即左侧的正方形数据来自5sccm蚀刻气体流速,从左侧起第二的菱形数据为10sccm,从右侧起第二的三角形数据为15sccm,右侧的圆形数据为20sccm)。在图17和18中,实心符号表示氧化硅/光致抗蚀剂选择性,中空符号表示氧化硅/氮化硅选择性,荫影符号表示氧化硅/无定形碳选择性。
实施例6
下表汇总了关于多种蚀刻气体的试验结果:
表2
分子3 H C=C PR a-C N O2/气体比
cC4F8 3.0 5.0 3.2 0
C4F6 1.1 4.3 2.3 1.5
66711-86-2 2.2 9.9 1.5 1.5
382-10-5 1.0 2.7 0.6 1.7
C4F8 2.8 6.9 5.1 0.2
22819-47-2 5.6 Inf 2.2 1.4
23102-94-4 4.3 11.6 1.7 0.8
2253-02-3 Inf Inf Inf 1.5
3cC4F8=八氟环丁烷;C4F6=六氟丁二烯,C4F8=八氟-2-丁烯
该分子在类似的SiO2蚀刻速率条件(ER 40-50nm/min)下对比。在蚀刻速率范围内选择最佳选择性的蚀刻气体和氧气流速。其它等离子体条件是固定的(即Ar=150sccm,300W,5Pa)。PR、a-C和N列显示SiO2与光致抗蚀剂(PR)、无定形碳(a-C)和氮化硅(N)之间的选择性。基于这些结果,特别是关于cC4F8、23102-94-4(反-1,1,2,2,3,4-六氟环丁烷)和2253-02-3(1,1,2,2,3-五氟环丁烷)的结果,提高H提高的掩模选择性。另外,即使66711-86-2(反-1,1,1,4,4,4-六氟-2-丁烯)和382-10-5(六氟异丁烯)具有相同的化学计量(即C4F6H2),不同的结构产生明显不同的结果。
实施例7
分析提高的H含量在蚀刻一部分DRAM图案堆栈时的影响。一部分DRAM图案化堆栈由在抗反射涂层层上、在氮氧化硅层上、在无定形碳层上、在4微米SiO2基质(Silox)上的P6100图案组成。氩气以150sccm引入。将室保持在5Pa。SAMCO RIE设置为300W。使用15sccm cC4F8且不使用氧气的10分钟蚀刻结果的扫描电子显微镜照片在图19中提供。使用的15sccm cC4F6H2和12sccm氧气的10分钟蚀刻结果的扫描电子显微镜照片提供于图20中。使用15sccm cC4F5H3和22sccm氧气的10分钟蚀刻结果的扫描电子显微镜照片提供于图21中。如图中所见,提高H促进递变的剖面并产生蚀刻速率的损失(590nm→380nm→270nm)。提高的H含量保持窄槽。图21中的110nm槽存在于蚀刻之前,而槽通过cC4F6H2提高至270nm,通过cC4F8提高至260nm。
实施例8
图22为显示H取代、双键和O在C4F8分子上的加成的影响。C4F8显示于图22的左上角。当2或3个F被氢原子替代(沿着上排从左侧移至右侧)时,看到SiO与掩模之间提高的选择性和提高的聚合物沉积速率。然而,提高的H分子还要求O2稀释的提高。当两个F原子被双键替代时(即分子从饱和变为不饱和)(从第一排的中部移至第二排的右侧),看到提高的聚合物沉积速率,但类似的选择性和O2稀释要求。氧气的加入产生差的选择性且不产生聚合物沉积(向下移至该页右侧上的栏)。当氟原子被含氧分子上的氢原子替代时(该页的左下侧),看到提高的选择性和聚合物沉积速率,但是在窄工艺窗中。
实施例9
测量关于环C4F8(八氟环丁烷)、C4F6(六氟-1,3-丁二烯)和线性C4F6H2(CAS 66711-86-2)的沉积和蚀刻速率。
Lam蚀刻系统的电源或RF功设置为750W,且偏置功率设置为1500W。压力设置为30毫托。板之间的距离设置为1.35cm。氧气以15sccm的流速引入。氩气以250sccm的流速引入。各蚀刻气体以15sccm引入。结果显示于下表中:
表3
分子4 SiO2蚀刻速率 选择性a-C 选择性SiN 聚合物沉积速率
cC4F8 440 4 2 56
C4F6 501 8 - 467
66711-86-2 390 12 2 250
4cC4F8=八氟环丁烷;C4F6=六氟丁二烯
66711-86-2(反-1,1,1,4,4,4-六氟-2-丁烯)具有在氧化硅与无定形碳之间比常规cC4F8更好的选择性,具有类似的氧化硅蚀刻速率。66711-86-2还具有比cC4F8更高的沉积速率。
实施例10
使用1,1,1,2,4,4,4-七氟-2-丁烯测量SiO2、SiN、p-Si(多晶硅)和a-C(无定形碳)的蚀刻速率。
Lam蚀刻系统的电源或RF功设置为750W且偏置功率设置为1500W。压力设置为30毫托。板之间的距离设置为1.35cm。氧气以15sccm的流速引入。氩气以250sccm的流速引入。1,1,1,2,4,4,4-七氟-2-丁烯以15sccm的流速引入。1,1,1,2,4,4,4-七氟-2-丁烯以550nm/min的速率蚀刻SiO2层。1,1,1,2,4,4,4-七氟-2-丁烯以150nm/min的速率蚀刻SiN层。1,1,1,2,4,4,4-七氟-2-丁烯以50nm/min的速率蚀刻p-Si层。1,1,1,2,4,4,4-七氟-2-丁烯以75nm/min的速率蚀刻a-c层。1,1,1,2,4,4,4-七氟-2-丁烯显示出在SiO2与p-Si和a-c之间良好的选择性。
尽管显示和描述了本发明的实施方案,本领域技术人员可不偏离本发明的精神或教导而做出其改进。本文所述实施方案仅为示例的且不是限定性的。组合物和方法的许多变化和改进是可能的且在本发明的范围内。因此,保护的范围不限于本文所述实施方案,而是仅受下面的权利要求书限制,其范围应包括权利要求主题的所有等价物。

Claims (23)

1.蚀刻含硅膜的方法,所述方法包括:
将蚀刻气体引入包含在基质上的含硅膜的等离子体反应室中,其中蚀刻气体选自反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;CAS号为382-10-5的六氟异丁烯;五氟环丁烷(1,1,2,2,3-);和四氟环丁烷(1,1,2,2-);
将惰性气体引入等离子体反应室中;和
将等离子体活化以产生能够由基质选择性蚀刻含硅膜的经活化的蚀刻气体。
2.根据权利要求1的方法,其进一步包括从室中除去挥发性副产物,其中经活化的蚀刻气体与含硅膜选择性反应以形成挥发性副产物。
3.根据权利要求1或2的方法,其中惰性气体选自He、Ar、Xe、Kr和Ne。
4.根据权利要求1或2的方法,其中惰性气体占引入等离子体反应室中的蚀刻气体和惰性气体总体积的约50%v/v至约95%v/v。
5.根据权利要求1或2的方法,其进一步包括将氧化剂引入等离子体反应室中。
6.根据权利要求5的方法,其中氧化剂选自O2、CO、CO2、NO、N2O和NO2
7.根据权利要求5的方法,其中氧化剂占引入等离子体反应室中的蚀刻气体和氧化剂总体积的约5%v/v至约100%v/v。
8.根据权利要求1或2的方法,其中含硅膜包含氧化硅、氮化硅、多晶硅或其组合的层。
9.根据权利要求8的方法,其中含硅膜进一步包含氧原子、氮原子、碳原子或其组合。
10.根据权利要求8的方法,其中含硅膜由无定形碳层选择性蚀刻。
11.根据权利要求8的方法,其中含硅膜由光致抗蚀剂层选择性蚀刻。
12.根据权利要求8的方法,其中含硅膜由多晶硅层选择性蚀刻。
13.根据权利要求8的方法,其中含硅膜由金属接触层选择性蚀刻。
14.根据权利要求1或2的方法,其中方法在含硅膜中产生具有约10:1至约100:1的纵横比的孔。
15.根据权利要求1或2的方法,其进一步包括通过将第二种气体引入等离子体反应室中而改进选择性,其中第二种气体选自cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I和SO2
16.权利要求1的方法,其中蚀刻气体是反-1,1,1,4,4,4-六氟-2-丁烯。
17.权利要求1的方法,其中蚀刻气体是六氟异丁烯。
18.权利要求5的方法,其中蚀刻气体是反-1,1,1,4,4,4-六氟-2-丁烯。
19.权利要求5的方法,其中蚀刻气体是六氟异丁烯。
20.等离子体蚀刻化合物,其选自反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;CAS号为382-10-5的六氟异丁烯;五氟环丁烷(1,1,2,2,3-);和四氟环丁烷(1,1,2,2-),所述等离子体蚀刻化合物具有至少99.9体积%的纯度和小于0.1体积%痕量气体杂质,其中包含在所述痕量气体杂质中的含氮和含氧气体的总含量为小于150体积ppm。
21.根据权利要求20的等离子体蚀刻化合物,其中含氧气体为水,且等离子体蚀刻化合物具有小于20重量ppm的水含量。
22.从无定形碳基质上选择性蚀刻氧化硅膜的方法,所述方法包括:
将反-1,1,1,4,4,4-六氟-2-丁烯蚀刻气体引入包含在无定形碳基质上的氧化硅膜的等离子体反应室中;
将惰性气体引入等离子体反应室中;和
通过将等离子体活化而由无定形碳基质选择性蚀刻氧化硅膜。
23.从硅层上选择性蚀刻氧化硅和氮化硅膜的方法,所述方法包括:
将六氟异丁烯蚀刻气体引入包含在基质上的氧化硅和氮化硅膜的等离子体反应室中;
将惰性气体引入等离子体反应室中;和
通过将等离子体活化而由硅层选择性蚀刻氧化硅和氮化硅膜。
CN201380068688.0A 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子 Active CN104885203B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710540813.3A CN107275206B (zh) 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261720139P 2012-10-30 2012-10-30
US61/720,139 2012-10-30
PCT/US2013/067415 WO2014070838A1 (en) 2012-10-30 2013-10-30 Fluorocarbon molecules for high aspect ratio oxide etch

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710540813.3A Division CN107275206B (zh) 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子

Publications (2)

Publication Number Publication Date
CN104885203A CN104885203A (zh) 2015-09-02
CN104885203B true CN104885203B (zh) 2017-08-01

Family

ID=50628017

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380068688.0A Active CN104885203B (zh) 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子
CN201710540813.3A Active CN107275206B (zh) 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710540813.3A Active CN107275206B (zh) 2012-10-30 2013-10-30 用于高纵横比氧化物蚀刻的氟碳分子

Country Status (7)

Country Link
US (3) US9514959B2 (zh)
JP (3) JP6257638B2 (zh)
KR (3) KR101564182B1 (zh)
CN (2) CN104885203B (zh)
SG (3) SG11201503321XA (zh)
TW (2) TWI623510B (zh)
WO (1) WO2014070838A1 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP2015170763A (ja) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6493694B2 (ja) * 2014-04-08 2019-04-03 ニプロ株式会社 医療用弁
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI695423B (zh) 2014-06-18 2020-06-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
CN107112233A (zh) 2015-01-22 2017-08-29 日本瑞翁株式会社 等离子体蚀刻方法
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
CN109690735B (zh) * 2016-09-14 2023-02-21 玛特森技术公司 用于高纵横比结构的剥离方法
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
CN110546742B (zh) 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料
US11075084B2 (en) 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
JP7030648B2 (ja) * 2018-08-09 2022-03-07 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP7173799B2 (ja) * 2018-09-11 2022-11-16 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP6666601B2 (ja) * 2018-11-22 2020-03-18 東京エレクトロン株式会社 多孔質膜をエッチングする方法
JP6874778B2 (ja) * 2019-01-09 2021-05-19 ダイキン工業株式会社 シクロブタンの製造方法
EP3950653A4 (en) * 2019-03-27 2023-01-11 Daikin Industries, Ltd. PROCESS FOR PREPARING A HALOGENATED CYCLOALKAN COMPOUND
EP3987553B8 (en) 2019-06-21 2023-12-06 Hitachi Energy Ltd Dielectric-insulation or arc-extinction fluid
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
JP7493378B2 (ja) 2019-07-05 2024-05-31 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113035706A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀方法和刻蚀装置
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
JPWO2022080268A1 (zh) * 2020-10-15 2022-04-21
US20230386851A1 (en) * 2020-10-15 2023-11-30 Resonac Corporation Etching gas, etching method, and method for producing semiconductor device
CN116472259A (zh) * 2020-10-15 2023-07-21 株式会社力森诺科 已充气填充容器和e-1,1,1,4,4,4-六氟-2-丁烯的保存方法
IL302125A (en) * 2020-10-15 2023-06-01 Resonac Corp Etching gas, method for manufacturing the same, etching method and method for manufacturing a semiconductor element
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US6322715B1 (en) * 1996-10-30 2001-11-27 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Gas composition for dry etching and process of dry etching
CN101816056A (zh) * 2007-10-05 2010-08-25 三箭株式会社 键盘及其制造方法
CN101911263A (zh) * 2008-01-04 2010-12-08 美光科技公司 蚀刻高纵横比接触的方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2570726B2 (ja) * 1987-03-05 1997-01-16 ミノルタ株式会社 摩擦帯電部材
JP3253215B2 (ja) 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JPH06329826A (ja) * 1993-05-17 1994-11-29 Daikin Ind Ltd フルオロシクロブタン化合物からなる発泡剤
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6228775B1 (en) * 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6412984B2 (en) * 1998-05-14 2002-07-02 Nsk Ltd. Dynamic pressure bearing apparatus
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4432230B2 (ja) * 2000-07-27 2010-03-17 日本ゼオン株式会社 フッ素化炭化水素の精製方法、溶剤、潤滑性重合体含有液および潤滑性重合体膜を有する物品
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6972265B1 (en) 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US20050014383A1 (en) 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
US9175201B2 (en) 2004-12-21 2015-11-03 Honeywell International Inc. Stabilized iodocarbon compositions
ES2366706T3 (es) 2004-12-21 2011-10-24 Honeywell International Inc. Composiciones de yodocarbono estabilizadas.
JP4691702B2 (ja) * 2005-02-16 2011-06-01 独立行政法人産業技術総合研究所 トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタンの製造方法
US20060243944A1 (en) 2005-03-04 2006-11-02 Minor Barbara H Compositions comprising a fluoroolefin
JP5131436B2 (ja) * 2007-05-31 2013-01-30 日本ゼオン株式会社 エッチング方法
EP2152833B1 (en) 2007-06-12 2014-07-23 E. I. Du Pont de Nemours and Company Azeotropic and azeotrope-like compositions of e-1,1,1,4,4,4-hexafluoro-2-butene
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
KR101442308B1 (ko) * 2007-09-28 2014-09-22 제온 코포레이션 플라즈마 에칭 방법
RU2476415C2 (ru) 2008-03-19 2013-02-27 Е.И.Дюпон Де Немур Энд Компани Способ изготовления 1,1,1,4,4,4-гексафтор-2-бутена
JP5266902B2 (ja) * 2008-06-20 2013-08-21 日本ゼオン株式会社 含フッ素オレフィン化合物の製造方法
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
CA2752263A1 (en) * 2009-03-06 2010-09-10 Solvay Fluor Gmbh Use of unsaturated hydrofluorocarbons
JP2011060958A (ja) * 2009-09-09 2011-03-24 Toshiba Corp 半導体装置及びその製造方法
CN102598266B (zh) * 2009-11-20 2015-04-22 株式会社半导体能源研究所 半导体装置
KR101790365B1 (ko) 2009-11-20 2017-10-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US20110144216A1 (en) 2009-12-16 2011-06-16 Honeywell International Inc. Compositions and uses of cis-1,1,1,4,4,4-hexafluoro-2-butene
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
TWI523900B (zh) 2010-07-20 2016-03-01 首威索勒希斯股份有限公司 氟彈性體組合物
RU2010147004A (ru) 2010-11-17 2012-05-27 Е.И.Дюпон де Немур энд Компани (US) Каталитический синтез внутренних фторбутенов и внутренних фторпентенов
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
JP5682381B2 (ja) 2011-03-09 2015-03-11 日本ゼオン株式会社 含ハロゲノフッ素化シクロアルカン、及び含水素フッ素化シクロアルカンの製造方法
US20130098396A1 (en) 2011-10-19 2013-04-25 E I Du Pont De Nemours And Company Novel 1,1,1,4,4,5,5,6,6,6-decafluorohex-2-ene isomer mixtures and uses thereof
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
CA2864802A1 (en) 2012-02-17 2013-08-22 Mark L. Robin Azeotrope-like compositions of z-1,1,1,4,4,4-hexafluoro-2-butene and e-1,1,1,4,4,4-hexafluoro-2-butene and uses thereof
JP6480417B2 (ja) 2013-03-28 2019-03-13 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー ヒドロフルオロオレフィンエッチングガス混合物
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US6322715B1 (en) * 1996-10-30 2001-11-27 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Gas composition for dry etching and process of dry etching
CN101816056A (zh) * 2007-10-05 2010-08-25 三箭株式会社 键盘及其制造方法
CN101911263A (zh) * 2008-01-04 2010-12-08 美光科技公司 蚀刻高纵横比接触的方法

Also Published As

Publication number Publication date
CN107275206A (zh) 2017-10-20
JP2015533029A (ja) 2015-11-16
JP2018050074A (ja) 2018-03-29
KR102048959B1 (ko) 2019-11-27
US20150294880A1 (en) 2015-10-15
US9514959B2 (en) 2016-12-06
TWI623510B (zh) 2018-05-11
TWI588240B (zh) 2017-06-21
KR102153246B1 (ko) 2020-09-07
SG10201703513WA (en) 2017-06-29
JP6527214B2 (ja) 2019-06-05
TW201730142A (zh) 2017-09-01
KR20190132564A (ko) 2019-11-27
TW201422780A (zh) 2014-06-16
WO2014070838A1 (en) 2014-05-08
JP2019195062A (ja) 2019-11-07
KR101564182B1 (ko) 2015-10-28
CN107275206B (zh) 2021-03-26
US20190326129A1 (en) 2019-10-24
JP6811284B2 (ja) 2021-01-13
SG10202113236SA (en) 2021-12-30
CN104885203A (zh) 2015-09-02
KR20150122266A (ko) 2015-10-30
KR20140090241A (ko) 2014-07-16
JP6257638B2 (ja) 2018-01-10
US10381240B2 (en) 2019-08-13
US20170032976A1 (en) 2017-02-02
SG11201503321XA (en) 2015-05-28
US11152223B2 (en) 2021-10-19

Similar Documents

Publication Publication Date Title
CN104885203B (zh) 用于高纵横比氧化物蚀刻的氟碳分子
JP7227135B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
CN111261512B (zh) 使用蚀刻气体蚀刻半导体结构的方法
CN111316405A (zh) 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物

Legal Events

Date Code Title Description
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant