TWI588240B - 用於高縱橫比氧化物蝕刻之氟碳分子 - Google Patents

用於高縱橫比氧化物蝕刻之氟碳分子 Download PDF

Info

Publication number
TWI588240B
TWI588240B TW102139056A TW102139056A TWI588240B TW I588240 B TWI588240 B TW I588240B TW 102139056 A TW102139056 A TW 102139056A TW 102139056 A TW102139056 A TW 102139056A TW I588240 B TWI588240 B TW I588240B
Authority
TW
Taiwan
Prior art keywords
etching
gas
plasma
ruthenium
layer
Prior art date
Application number
TW102139056A
Other languages
English (en)
Other versions
TW201422780A (zh
Inventor
柯堤斯 安德森
拉吾爾 古普達
維森M 歐馬吉
納坦 史特佛
克里斯均 杜薩拉特
Original Assignee
液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201422780A publication Critical patent/TW201422780A/zh
Application granted granted Critical
Publication of TWI588240B publication Critical patent/TWI588240B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/26Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton
    • C07C17/263Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton by condensation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C23/00Compounds containing at least one halogen atom bound to a ring other than a six-membered aromatic ring
    • C07C23/02Monocyclic halogenated hydrocarbons
    • C07C23/06Monocyclic halogenated hydrocarbons with a four-membered ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/04Systems containing only non-condensed rings with a four-membered ring

Description

用於高縱橫比氧化物蝕刻之氟碳分子 【相關申請案之交叉參考】
本申請案主張2012年10月30日申請之美國申請案第61/720,139號之優先權,該申請案之全部內容以引用之方式併入本文中。
本發明揭示用於在基板上之含Si層中電漿蝕刻高縱橫比通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等之蝕刻氣體。本發明亦揭示使用其之電漿蝕刻方法。
在半導體行業中之記憶體應用中,諸如DRAM及2D NAND,電漿蝕刻自半導體基板移除含矽層,諸如SiO或SiN層。對於新穎記憶體應用,諸如3D NAND(Hwang等人之US 2011/0180941),高縱橫比蝕刻多個SiO/SiN或SiO/poly-Si層之堆疊至關重要。較佳地,蝕刻劑在遮罩與待蝕刻層之間具有高選擇性。此外,蝕刻劑較佳蝕刻該結構以使得垂直特徵筆直且無彎曲。3D NAND堆疊可包括其他含矽層。
傳統地,使用自氣體源(諸如含氫、氧或氟之氣體)產生活性物質之電漿源進行電漿蝕刻。活性物質隨後與含Si層反應以形成氟碳阻擋上覆層及揮發性物質。在反應器中藉由用真空泵維持之低壓來移除揮發性物質。較佳地,活性物質不蝕刻遮罩材料。遮罩材料可包含以下中之一者:光阻劑、非晶碳、多晶矽、金屬或不蝕刻之其他硬式遮罩。
傳統蝕刻氣體包括cC4F8(八氟環丁烷)、C4F6(六氟-1,3-丁 二烯)、CF4、CH2F2、CH3F及/或CHF3。此等蝕刻氣體亦可在蝕刻期間形成聚合物。該聚合物充當圖案蝕刻結構側壁上之保護層。此聚合物保護層防止可產生非垂直結構、彎曲及尺寸變化之離子及自由基蝕刻側壁。已建立F:C比、SiO:SiN選擇性及聚合物沈積速率之間的聯繫(參見例如Lieberman及Lichtenberg,Principles of Plasma Discharges and Materials Processing,第二版,Wiley-Interscience,A John Wiley & Sons Publication,2005,第595-596頁;及Hung等人之US6387287之圖5,其顯示降低F/C比之值增加了對氮化物之覆蓋選擇性)。
諸如化學蝕刻之傳統乾式蝕刻方法可不提供所需高縱橫比 (>20:1),因為化學蝕刻期間所要之高壓條件可對所形成之孔口具有不利影響。諸如C4F8及C4F6之傳統化學物質亦可能不足以提供所要高縱橫比,因為蝕刻製造商正迅速地耗盡用於使傳統化學物質起作用之可用參數,諸如RF功率、RF頻率、脈衝方案及調諧方案。傳統化學物質在電漿蝕刻製程期間不再使足夠的聚合物沈積於高縱橫比側壁上。另外,側壁上之聚合物CxFy(其中x及y各自獨立地介於1-4範圍內)易遭蝕刻。因此,蝕刻圖案可不垂直且結構可顯示彎曲、尺寸變化及/或圖案崩塌。
對圖案進行蝕刻之一個關鍵問題為彎曲。彎曲通常係由遮罩 層之側壁蝕刻所致,該遮罩層通常為非晶碳材料。非晶碳材料可由電漿中之氧自由基蝕刻,由此可引起遮罩開口變大且產生弓狀蝕刻結構。
Trapp之US6569774揭示一種用於形成穿過氧化矽層之高縱 橫比接觸開口之電漿蝕刻方法。Trapp揭示包含諸如NH3之含氮氣體至氟碳(CxFy)及氟代烴(CxFyHz)蝕刻化學物質以改善抗蝕選擇性且減少條紋。揭示35種氟碳及氟代烴化學物質之清單,但未提供結構式、CAS編號或異構體資訊。
Solvay Fluor公司之WO2010/100254揭示某些氫氟烯烴用於各種方法之用途,包括作為用於半導體蝕刻或腔室清潔之蝕刻氣體。氫氟烯烴可包括選自以下群組a)及b)中之每一者的至少一種化合物之混合物:a)(Z)-1,1,1,3-四氟丁-2-烯、(E)-1,1,1,3-四氟丁-2-烯或2,4,4,4-四氟丁-1-烯,及b)1,1,1,4,4,4-六氟丁-2-烯、1,1,2,3,4,4-六氟丁-2-烯、1,1,1,3,4,4-六氟丁-2-烯及1,1,1,2,4,4-六氟丁-2-烯。
目前先進技術之垂直3D NAND結構穿過交替堆疊之材料需要極高縱橫比。
仍然需要適用於電漿應用以形成高縱橫比孔口之新蝕刻氣體組成物。
記號及命名法
在以下說明書及申請專利範圍通篇中使用某些縮寫、符號及術語,且其包括:如本文所用,術語「蝕刻(etch/etching)」係指一種電漿蝕刻製程(亦即乾式蝕刻製程),其中離子轟擊加速垂直方向之化學反應,從而沿著受遮蔽部分之邊緣以與基板成直角形成垂直側壁(Manos及Flamm,Plasma Etching An Introduction,Academic Press公司,1989年,第12-13頁)。蝕刻製程在基板中產生孔口,諸如通孔、溝槽、通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。
術語「圖案蝕刻(pattern etch)」或「圖案化蝕刻(patterned etch)」係指在含矽層之堆疊上蝕刻非平面結構,諸如圖案化遮罩層。術語「遮罩(mask)」係指抗蝕刻之層。遮罩層可定位於待蝕刻層以上或以下。
術語「選擇性(selectivity)」意謂一種材料之蝕刻速率與另一材料之蝕刻速率之比。術語「選擇性蝕刻(selective etch)」或「選擇性地 蝕刻(selectively etch)」意謂蝕刻一種材料多於另一材料,或換言之,兩種材料之間的蝕刻選擇性大於或小於1:1。
如本文所用,不定冠詞「一(a/an)」意謂一或多個(種)。
本文使用元素週期表之元素的標準縮寫。應瞭解,可藉由此等縮寫來指元素(例如,S指硫,Si指矽,H指氫等)。
如本文所用,縮寫「NAND」係指「反及(Negated AND)」或「非及(Not AND)」閘極;縮寫「2D」係指平面基板上之2維閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中該等閘極結構沿垂直方向堆疊;且縮寫「DRAM」係指動態隨機存取記憶體。
請注意,諸如SiN及SiO之含Si膜列於本說明書及申請專利範圍通篇中,但不提及其恰當的化學計量。含矽層可包括純矽(Si)層,諸如結晶Si、多晶矽(polySi或多晶Si)或非晶矽;氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、l、m及n介於包括1至包括6範圍內。氮化矽較佳為SikNl,其中k及l各自介於0.5至1.5範圍內。氮化矽更佳為SilNl。氧化矽較佳為SinOm,其中n介於0.5至1.5範圍內且m介於1.5至3.5範圍內。氧化矽更佳為SiO2或SiO3。含矽層亦可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials公司之Black Diamond II或III材料。含矽層亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
揭示用於蝕刻含矽膜之方法。向含有上面有含矽膜之基板的電漿反應室中引入蝕刻氣體。蝕刻氣體為反式-1,1,1,4,4,4-六氟-2-丁烯;順式-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯;六氟環丁烷(反式-1,1,2,2,3,4);五氟環丁烷(1,1,2,2,3-);四氟環丁烷(1,1,2,2-);或六氟環丁烷(順式-1,1,2,2,3,4)。向電漿反應室中引入惰性氣體。活化電漿以產生能夠自基板選擇性地蝕刻 含矽膜之活化蝕刻氣體。所揭示之方法可包括以下態樣中之一或多者:‧蝕刻氣體為反式-1,1,1,4,4,4-六氟-2-丁烯;‧蝕刻氣體為順式-1,1,1,4,4,4-六氟-2-丁烯;‧蝕刻氣體為六氟異丁烯;‧蝕刻氣體為六氟環丁烷(反式-1,1,2,2,3,4);‧蝕刻氣體為五氟環丁烷(1,1,2,2,3-);‧蝕刻氣體為四氟環丁烷(1,1,2,2-);‧蝕刻氣體為六氟環丁烷(順式-1,1,2,2,3,4);‧活化蝕刻氣體與含矽膜選擇性地反應以形成揮發性副產物;‧自電漿反應室移除揮發性副產物;‧惰性氣體選自由以下組成之群:He、Ar、Xe、Kr及Ne;‧惰性氣體為Ar;‧將蝕刻氣體與惰性氣體混合,隨後引入電漿反應室中以產生混合物;‧向電漿反應室中分別引入蝕刻氣體及惰性氣體;‧向電漿反應室中連續地引入惰性氣體且向電漿反應室中以脈衝形式引入蝕刻氣體;‧惰性氣體佔引入電漿反應室中之蝕刻氣體與惰性氣體之總體積之約50% v/v至約95% v/v;‧向電漿反應室中引入氧化劑;‧不向電漿反應室中引入氧化劑;‧氧化劑選自由以下組成之群:O2、CO、CO2、NO、N2O及NO2; ‧氧化劑為O2;‧將蝕刻氣體與氧化劑混合,隨後引入電漿反應室中;‧向電漿反應室中分別引入蝕刻氣體及氧化劑;‧向電漿反應室中連續地引入氧化劑且向電漿反應室中以脈衝形式引入蝕刻氣體;‧氧化劑佔引入電漿反應室中之蝕刻氣體與氧化劑之總體積之約5% v/v至約100% v/v;‧含矽膜包含氧化矽、氮化矽、多晶矽或其組合之層;‧含矽膜包含氧原子、氮原子、碳原子或其組合;‧含矽膜不包含碳化矽;‧含矽膜係自非晶碳層選擇性地蝕刻;‧含矽膜係自光阻層選擇性地蝕刻;‧含矽膜係自多晶矽層選擇性地蝕刻;‧含矽膜係自金屬接觸層選擇性地蝕刻;‧含矽膜為氧化矽層;‧自非晶碳層選擇性地蝕刻氧化矽層;‧自光阻層選擇性地蝕刻氧化矽層;‧自多晶矽層選擇性地蝕刻氧化矽層;‧自金屬接觸層選擇性地蝕刻氧化矽層;‧自SiN層選擇性地蝕刻氧化矽層;‧含矽膜為氮化矽層;‧自非晶碳層選擇性地蝕刻氮化矽層; ‧自圖案化光阻層選擇性地蝕刻氮化矽層;‧自多晶矽層選擇性地蝕刻氮化矽層;‧自金屬接觸層選擇性地蝕刻氮化矽層;‧自SiO層選擇性地蝕刻氮化矽層;‧自矽層選擇性地蝕刻氧化矽及氮化矽;‧在含矽膜中產生縱橫比介於約10:1與約100:1之間的孔口;‧產生閘極溝槽;‧產生階梯式接點;‧產生通道孔;‧產生縱橫比介於約60:1與約100:1之間的通道孔;‧產生直徑介於約40nm至約50nm範圍內之通道孔;‧藉由向電漿反應室中引入第二氣體改善選擇性;‧第二氣體選自由以下組成之群:cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I及SO2
‧第二氣體為cC5F8;‧第二氣體為cC4F8;‧第二氣體為C4F6;‧將蝕刻氣體與第二氣體混合,隨後引入電漿反應室中;‧向電漿反應室中分別引入蝕刻氣體及第二氣體;‧向該室中引入約1% v/v至約99.9% v/v之第二氣體;‧藉由介於約25W至約10,000W範圍內之RF功率使電漿活化;‧電漿反應室之壓力介於約1毫托至約10托範圍內; ‧以介於約5sccm至約1slm範圍內之流速向電漿反應室中引入蝕刻氣體;‧使基板維持在介於約-196℃至約500℃範圍內之溫度下;‧使基板維持在介於約-120℃至約300℃範圍內之溫度下;‧使基板維持在介於約-10℃至約40℃範圍內之溫度下;‧藉由四極質譜儀、光學發射光譜儀、FTIR或其他自由基/離子量測工具量測活化蝕刻氣體;及‧藉由施加RF功率產生電漿。
亦揭示電漿蝕刻化合物,其選自反式-1,1,1,4,4,4-六氟-2-丁烯;順式-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯;六氟環丁烷(反式-1,1,2,2,3,4);五氟環丁烷(1,1,2,2,3-);四氟環丁烷(1,1,2,2-);或六氟環丁烷(順式-1,1,2,2,3,4)。電漿蝕刻化合物具有至少99.9體積%之純度及小於0.1體積%之痕量氣體雜質。該等痕量氣體雜質中所包含之含氮及含氧氣體之總含量按體積計小於150ppm。所揭示之電漿蝕刻化合物可包括以下態樣中之一或多者:‧蝕刻化合物為反式-1,1,1,4,4,4-六氟-2-丁烯;‧蝕刻化合物為順式-1,1,1,4,4,4-六氟-2-丁烯;‧蝕刻化合物為六氟異丁烯;‧蝕刻化合物為六氟環丁烷(反式-1,1,2,2,3,4);‧蝕刻化合物為五氟環丁烷(1,1,2,2,3-);‧蝕刻化合物為四氟環丁烷(1,1,2,2-);‧蝕刻化合物為六氟環丁烷(順式-1,1,2,2,3,4);‧含氧氣體為水; ‧含氧氣體為CO2;‧含氮氣體為N2;及‧電漿蝕刻化合物具有按重量計小於20ppm之水含量。
為進一步理解本發明之性質及目的,應結合隨附圖式參考以下【實施方式】,其中相同要素給出相同或類似參考編號且其中:圖1為反式-1,1,1,4,4,4-六氟-2-丁烯之結構式;圖2為順式-1,1,1,4,4,4-六氟-2-丁烯之結構式;圖3為反式-1,1,2,2,3,4-六氟環丁烷之結構式;圖4為順式-1,1,2,2,3,4-六氟環丁烷之結構式;圖5為六氟異丁烯之結構式;圖6為1,1,1,2,4,4,4-七氟-2-丁烯之結構式;圖7為1,1,2,2,3-五氟環丁烷之結構式;圖8為1,1,2,2-四氟環丁烷之結構式;圖9為顯示3D NAND堆疊中之例示性層之圖;圖10為顯示DRAM堆疊中之例示性層之圖;圖11為繪製由C4F6H2產生之物質部分之體積對能量(以eV計)之質譜(mass spectrometry;MS)圖;圖12為繪製由C4F8產生之物質部分之體積對能量之MS圖;圖13為繪製由反式-1,1,1,4,4,4-六氟-2-丁烯產生之物質部分之體積對能量之MS圖;圖14為繪製由六氟異丁烯產生之物質部分之體積對能量之MS圖;圖15為反式-1,1,2,2,3,4-六氟環丁烷之SiO2蝕刻速率對氧流量(以sccm計)之圖;圖16為cC4F5H3之SiO2蝕刻速率對氧流量之圖; 圖17為反式-1,1,2,2,3,4-六氟環丁烷之選擇性對氧流量之圖;圖18為cC4F5H3之選擇性對氧流量之圖;圖19為使用15sccm cC4F8且無氧蝕刻10分鐘之結果的掃描電子顯微照片(scanning electron micrograph;SEM);圖20為使用15sccm cC4E6H2及12sccm氧蝕刻10分鐘之結果的SEM;圖21為使用15sccm cC4F5H3及22sccm氧蝕刻10分鐘之結果的SEM;及圖22為顯示H取代、雙鍵及添加O至C4F8分子中之影響的流程圖。
揭示用於在含矽層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等之蝕刻氣體。所揭示之蝕刻氣體在高縱橫比結構中可提供對遮罩層之較高選擇性且無特徵畸變。
電漿蝕刻氣體可改善含Si層與遮罩材料之間的選擇性,減少對通道區域之破壞,且降低圖案高縱橫比結構之彎曲。電漿蝕刻氣體亦可蝕刻穿過polySi、SiO及/或SiN之交替層,產生垂直蝕刻特徵。
以下化合物形成所揭示之電漿蝕刻氣體:反式-1,1,1,4,4,4-六氟-2-丁烯;順式-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯;六氟環丁烷(反式-1,1,2,2,3,4);五氟環丁烷(1,1,2,2,3-);四氟環丁烷(1,1,2,2-);或六氟環丁烷(順式-1,1,2,2,3,4)。此等化合物可購得。
所揭示之電漿蝕刻氣體係以高於99.9% v/v之純度,較佳以高於99.99% v/v之純度,且更佳以高於99.999% v/v之純度提供。所揭示之蝕刻氣體含有小於0.1體積%之痕量氣體雜質,其中該等痕量氣體雜質中包含按體積計小於150ppm含氮及含氧氣體,諸如H2O及/或CO2。電漿蝕刻氣體中之水含量按重量計較佳小於20ppm。純化之產物可藉由蒸餾及/或使氣體或液體流經適合吸附劑(諸如4A分子篩)產生。
在一個具體實例中,所揭示之電漿蝕刻氣體含有小於5% v/v,較佳小於1% v/v,更佳小於0.1% v/v,且甚至更佳小於0.01% v/v之其異構體中之任一者。此具體實例可提供較佳製程重複性。此具體實例可藉由蒸餾氣體或液體產生。在一替代性具體實例中,所揭示之電漿蝕刻氣體可含有介於5% v/v與50% v/v之間的一或多種其異構體,在異構體混合物提供改善之製程參數或目標異構體之分離過於困難或昂貴時尤其如此。舉例而言,異構體混合物可降低對兩個或兩個以上通向電漿反應器之氣體管線的需要。
圖1為反式-1,1,1,4,4,4-六氟-2-丁烯之結構式。反式-1,1,1,4,4,4-六氟-2-丁烯之CAS編號為66711-86-2。反式-1,1,1,4,4,4-六氟-2-丁烯之沸點為8.5℃。
圖2為順式-1,1,1,4,4,4-六氟-2-丁烯之結構式。順式-1,1,1,4,4,4-六氟-2-丁烯之CAS編號為692-49-9。順式-1,1,1,4,4,4-六氟-2-丁烯之沸點為33℃。
圖3為反式-1,1,2,2,3,4-六氟環丁烷之結構式。反式-1,1,2,2,3,4-六氟環丁烷之CAS編號為23012-94-4。反式-1,1,2,2,3,4-六氟環丁烷之沸點為27℃。
圖4為順式-1,1,2,2,3,4-六氟環丁烷之結構式。順式-1,1,2,2,3,4-六氟環丁烷之CAS編號為22819-47-2。順式-1,1,2,2,3,4-六氟環丁烷之沸點為63℃。
圖5為六氟異丁烯之結構式。六氟異丁烯之CAS編號為382-10-5。六氟異丁烯之沸點為14.5℃。
圖6為1,1,1,2,4,4,4-七氟-2-丁烯之結構式。1,1,1,2,4,4,4-七氟-2-丁烯之CAS編號為760-42-9。1,1,1,2,4,4,4-七氟-2-丁烯之沸點為8℃。
圖7為1,1,2,2,3-五氟環丁烷之結構式。1,1,2,2,3-五氟環丁烷 之CAS編號為2253-02-3。1,1,2,2,3-五氟環丁烷之沸點為53℃。
圖8為1,1,2,2-四氟環丁烷之結構式。1,1,2,2-四氟環丁烷之CAS編號為374-12-9。1,1,2,2-四氟環丁烷之沸點為50℃。
此等化合物中之一些在室溫及大氣壓下為氣態。對於非氣態(亦即液態)化合物,可藉由習知汽化步驟,諸如直接汽化或藉由鼓泡使化合物汽化而產生氣體形式。化合物可呈液態饋入汽化器中,其中在將其引入反應器中之前使其汽化。或者,可藉由將載體氣體傳送至含有化合物之容器中或藉由使載體氣體鼓泡至化合物中以使化合物汽化。載體氣體可包括(但不限於)Ar、He、N2及其混合物。用載體氣體進行鼓泡亦可移除蝕刻氣體中所存在之任何溶解氧。載體氣體及化合物隨後以蒸氣形式引入反應器中。
必要時,可將含有化合物之容器加熱至一定溫度以准許化合物具有足以傳遞至蝕刻工具中之蒸氣壓。可使容器維持在例如約25℃至約100℃,較佳約25℃至約50℃範圍內之溫度下。更佳地,使容器維持在室溫(約25℃)下以避免加熱蝕刻工具之管線。熟習此項技術者認識到可以已知方式調節容器之溫度以控制化合物之汽化量。
所揭示之蝕刻氣體適用於在一或多個含Si層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等且與當前及未來產生遮罩材料可相容,因為其在遮罩上幾乎不誘導破壞並且誘導良好的高縱橫比結構特徵。為獲得彼等性質,所揭示之蝕刻氣體可在蝕刻期間沈積抗蝕刻性聚合物層,從而有助於在蝕刻製程期間降低氧及氟自由基之直接影響。所揭示之化合物在蝕刻期間亦可減少對poly-Si通道結構之破壞(參見Hwang等人之US 2011/0180941)。蝕刻氣體較佳在蝕刻製程期間具有適當揮發性與穩定性以便傳遞至反應器/室中。
所揭示之蝕刻氣體可用以電漿蝕刻基板上之含矽層。所揭示 之電漿蝕刻方法可適用於製造半導體裝置,諸如NAND或3D NAND閘極或快閃或DRAM記憶體。所揭示之蝕刻氣體可用於其他應用領域,諸如不同的前段製程(front end of the line;FEOL)及後段製程(back end of the line;BEOL)蝕刻應用。另外,所揭示之蝕刻氣體亦可用於在3D矽穿孔(Through Silicon Via;TSV)蝕刻應用中蝕刻Si以使邏輯基板上之記憶體基板互連。
電漿蝕刻方法包括提供其中安置有基板之電漿反應室。電漿反應室可為發生蝕刻方法之裝置內之任何封閉空間或室,諸如且不限於反應性離子蝕刻(Reactive Ion Etching;RIE)、具有單或多頻RF源之雙重電容耦合電漿(Dual Capacitively Coupled Plasma;CCP)、感應耦合電漿(Inductively Coupled Plasma;ICP)或微波電漿反應器或能夠選擇性地移除一部分含Si層或產生活性物質之其他類型蝕刻系統。一般技術者應認識到不同電漿反應室設計提供不同電子溫度控制。適合之可購得電漿反應室包括(但不限於)以商標eMAXTM出售之Applied Materials磁場強化反應性離子蝕刻器或以商標2300®FlexTM出售之Lam Research雙重CCP反應性離子蝕刻器介電蝕刻產品家族。
電漿反應室可含有一個或一個以上基板。舉例而言,電漿反應室可含有1至200個直徑為25.4mm至450mm之矽晶圓。一或多個基板可為適用於半導體、光伏打、平板或LCD-TFT裝置製造之任何基板。基板在其上將具有多個膜或層,包括一或多個含矽膜或層。基板可經或可不經圖案化。適合層之實例包括(但不限於)矽(諸如非晶矽、多晶矽、晶體矽,其中任一者可進一步p型摻雜或n型摻雜有B、C、P、As及/或Ge)、二氧化矽、氮化矽、氧化矽、氮氧化矽、鎢、氮化鈦、氮化鉭、諸如非晶碳之遮罩材料、抗反射塗層、光阻材料或其組合。氧化矽層可形成介電材料,諸如基於有機物或基於氧化矽之低k介電材料(例如多孔SiCOH膜)。例示性低k介電材料由Applied Materials以商標名Black Diamond II或III出 售。另外,可使用包含鎢或貴金屬(例如鉑、鈀、銠或金)之層。
基板可在其上包括多個含矽層之堆疊,類似於圖9及10中所示。在圖9中,七個SiO/SiN層之堆疊位於矽晶圓基板之頂部(亦即ONON或TCAT技術)。一般技術者應認識到一些技術用polySi層(亦即P-BICS技術中之SiO/polySi層)替換SiN層。一般技術者將進一步認識到,3D NAND堆疊中SiO/SiN或SiO/poly-Si層之數目可變化(亦即可包括多於或少於七個所描繪之SiO/SiN層)。非晶碳遮罩層位於七個SiO/SiN層之頂部。抗反射塗層位於非晶碳遮罩之頂部。圖案光阻層位於抗反射塗層之頂部。圖9中之層堆疊反映類似於3D NAND閘極中所用之層。在圖10中,厚SiO層位於矽晶圓基板之頂部。非晶碳遮罩層位於厚SiO層之頂部。抗反射塗層位於非晶碳遮罩之頂部。圖案光阻層位於抗反射塗層之頂部。圖10中之層堆疊反映類似於DRAM閘極中所用之層。所揭示之蝕刻氣體僅蝕刻含矽層(亦即SiO、SiN、polySi),不蝕刻非晶碳遮罩、抗反射塗層或光阻層。在相同或不同反應室中可藉由其他蝕刻氣體移除彼等層。一般技術者將認識到圖9及10中之層堆疊僅出於例示性目的而提供。
向含有基板及含矽層之電漿反應室中引入所揭示之蝕刻氣體。氣體可以介於約0.1sccm至約1s1m範圍內之流速引入該室中。舉例而言,對於200mm晶圓大小,氣體可以介於約5sccm至約50sccm範圍內之流速引入該室中。或者,對於450mm晶圓大小,氣體可以介於約25sccm至約250sccm範圍內之流速引入該室中。一般技術者將認識到流速將隨工具不同而不同。
亦向電漿反應室中引入惰性氣體以維持電漿。惰性氣體可為He、Ar、Xe、Kr、Ne或其組合。蝕刻氣體與惰性氣體可在引入該室中之前得以混合,其中惰性氣體佔所得混合物之約50% v/v至約95% v/v。或者,可向該室中連續地引入惰性氣體,同時向該室中以脈衝形式引入蝕刻氣體。
藉由電漿活化所揭示之蝕刻氣體及惰性氣體以產生活化蝕刻氣體。電漿將蝕刻氣體分解成自由基形式(亦即活化蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用介於約25W至約10,000W範圍內之RF功率產生。電漿可產生或存在於反應器本身內。電漿可用在兩個電極處施加之RF以雙重CCP或ICP模式產生。電漿之RF頻率可介於200KHz至1GHz範圍內。可在同一電極處耦合且施加不同頻率之不同RF源。電漿RF脈衝可進一步用於控制基板處之分子切斷及反應。熟習此項技術者將認識到適合於該電漿處理之方法及設備。
四極質譜儀(Quadropole mass spectrometer;QMS)、光學發射光譜儀、FTIR或其他自由基/離子量測工具可量測活化蝕刻氣體以確定所產生之物質的類型及數目。必要時,可調節蝕刻氣體及/或惰性氣體之流速以增加或減少所產生之自由基物質之數目。
所揭示之蝕刻氣體可在引入電漿反應室中之前或在電漿反應室內與其他氣體混合。較佳可在引入該室中之前混合該等氣體以提供均勻濃度之進入氣體。在另一替代方案中,蝕刻氣體可獨立於其他氣體引入該室中,諸如在兩種或兩種以上氣體反應時。在另一替代方案中,蝕刻氣體及惰性氣體為蝕刻製程期間所用之僅有的兩種氣體。
例示性其他氣體包括(但不限於)氧化劑,諸如O2、O3、CO、CO2、NO、N2O、NO2及其組合。所揭示之蝕刻氣體及氧化劑可在引入電漿反應室中之前混合在一起。或者,可向該室中連續地引入氧化劑且向該室中以脈衝形式引入蝕刻氣體。氧化劑可佔引入該室中之混合物之約5% v/v至約100% v/v(其中100% v/v表示關於連續引入替代方案引入純氧化劑)。
可與蝕刻氣體混合之其他例示性氣體包括額外蝕刻氣體,諸如cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I 及SO2。蝕刻氣體及額外氣體之蒸氣可在引入電漿反應室中之前得以混合。額外蝕刻氣體可佔引入該室中之混合物之約1% v/v至約99.9% v/v。
含Si層及活化蝕刻氣體反應以形成揮發性副產物,將其自電漿反應室移除。非晶碳遮罩、抗反射塗層及光阻層與活化蝕刻氣體之反應性較小。
使電漿反應室內之溫度及壓力保持在適用於含矽層與活化蝕刻氣體反應之條件下。舉例而言,如蝕刻參數所需,可使室中之壓力保持在約0.1毫托與約1000托之間,較佳地在約1毫托與約10托之間,更佳在約10毫托與約1托之間,且更佳在約10毫托與約100毫托之間。類似地,室中之基板溫度可介於約-196℃至約500℃,較佳-120℃至約300℃,且更佳-10℃至約40℃之範圍內。室壁溫度可視製程要求而定介於約-196℃至約300℃範圍內。
含Si層與活化蝕刻氣體之間的反應致使自基板各向異性移除含Si層。氮原子、氧原子及/或碳原子亦可存在於含Si層中。移除係歸因於自電漿離子物理濺鍍含Si層(藉由電漿加速)及/或藉由電漿物質之化學反應將Si轉化成揮發性物質,諸如SiFX,其中x介於1至4範圍內。
活化蝕刻氣體較佳展現對遮罩之高選擇性且蝕刻穿過SiO與SiN之交替層,產生無彎曲之垂直蝕刻特徵,此情況對於3D NAND應用而言十分重要。對於其他應用,諸如DRAM及2D NAND,舉例而言,電漿活化蝕刻氣體可自SiN選擇性地蝕刻SiO。電漿活化蝕刻氣體較佳自遮罩層,諸如非晶碳、光阻劑、多晶矽或碳化矽;或自金屬接觸層,諸如Cu;或自SiGe或多晶矽區域組成之通道區域選擇性地蝕刻SiO及/或SiN。
所揭示之蝕刻製程使用所揭示之蝕刻氣體在含Si層中產生通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所得孔口可具有介於約10:1至約100:1範圍內之縱橫比及介於約40nm至約50nm範圍內之 直徑。舉例而言,一般技術者將認識到,通道孔蝕刻在含Si層中產生縱橫比大於60:1之孔口。
在一個非限制性例示性電漿蝕刻製程中,使用受控制之氣流裝置向200mm雙重CCP電漿蝕刻工具中引入反式-1,1,1,4,4,4-六氟-2-丁烯。受控制之氣流裝置可為質量流量控制器。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD)、MKS Instruments等之特定低壓降質量流量控制器。設定電漿反應室之壓力為約30毫托。不需要加熱氣體源,因為此化合物在25℃下之蒸氣壓為約1340托。保持兩個CCP電極之間的距離為1.35cm且頂部電極RF功率固定在750W。改變底部電極RF功率以分析分子效能。電漿反應室含有上面具有24對SiO及SiN層之基板,類似於圖9中所示。在此製程之前,藉由氟碳及含氧氣體移除ARC層且藉由含氧氣體移除APF層。以250sccm流速向該室中獨立地引入氬氣。以15sccm向該室中獨立地引入反式-1,1,1,4,4,4-六氟-2-丁烯。以0-20sccm向該室中獨立地引入O2以確定最佳蝕刻條件。產生縱橫比等於或大於30:1之孔口,其可用作垂直NAND中之通道孔。
在另一非限制性例示性電漿蝕刻製程中,使用受控制之氣流裝置向200mm雙重CCP電漿蝕刻工具中引入六氟異丁烯。受控制之氣流裝置可為質量流量控制器。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD)、MKS Instruments等之特定低壓降質量流量控制器。設定電漿反應室之壓力為約30毫托。不需要加熱氣體源,因為此化合物在20℃下之蒸氣壓為約900托。保持兩個CCP電極之間的距離為1.35cm且頂部電極RF功率固定在750W。改變底部電極RF功率以分析分子效能。電漿反應室含有上面具有厚SiO層之基板,類似於圖10中所示。在此製程之前,藉由氟碳及含氧氣體移除ARC層且藉由含氧氣體移除APF層。以250sccm流速向該室中獨立地引入氬氣。以15sccm向該室中獨立地引入 六氟異丁烯。以0-20sccm向該室中獨立地引入O2以確定最佳蝕刻條件。產生縱橫比等於或大於10:1之孔口,其可用作DRAM中之接觸孔。
實施例
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有且並不意欲限制本文所述之發明範疇。
使用SAMCO10-NR反應性離子蝕刻器(RIE)或Lam 4520XLETM高級介電蝕刻系統(200mm雙頻電容耦合電漿(capacitively coupled plasma;CCP)離子蝕刻)(LAM)進行以下測試。
實施例1
將C4F6及環狀C4F8直接注入四極質譜儀(quadruple mass spectrometer;QMS)中且收集10-100eV之資料。結果顯示於圖11及12中。C4F6片段之F:C比低於C4F8片段,由此產生較高之聚合物沈積速率且可改善選擇性。
聚合物藉由在1sccm氬氣下以30sccm引入RIE電漿反應室中而沈積。室內壓力設定為5Pa。電漿設定為300W。聚合物以100nm/min自cC4F8沈積且展現0.90之F:C比。聚合物以280nm/min自C4F6沈積且展現0.76之F:C比。C4F6展現較高之沈積速率且所得膜顯示聚合物之F:C比低,由此可表明交聯增加。
實施例2
在與實施例1相同之條件(亦即30sccm蝕刻氣體,1sccm Ar,5Pa及300W)下,自環狀C4F6H2及環狀C4F5H3沈積聚合物。環狀C4F6H2及環狀C4F5H3類似於環狀C4F8,但已用H置換2或3個F原子。聚合物以150nm/min自環狀C4F6H2沈積且展現0.59之F:C比。聚合物以200nm/min自環狀C4F5H3沈積且展現0.50之F:C比。增加環狀丁烷分子上之氫含量致 使聚合物沈積速率增加且所得聚合物之F:C比減小。
實施例3
將具有相同化學計量(亦即C4F6H2)之兩個分子直接注入四極質譜儀(QMS)中且收集10-100eV之資料。反式-1,1,1,4,4,4-六氟-2-丁烯(CAS編號66711-86-2)之結果顯示於圖13中。六氟異丁烯(CAS編號382-10-5)之結果顯示於圖14中。在較高能量下,自六氟異丁烯產生之CF3片段多於反式-1,1,1,4,4,4-六氟-2-丁烯且C3F3H2片段少於反式-1,1,1,4,4,4-六氟-2-丁烯。C4F6片段之F:C比低於C4F8片段,由此產生較高之聚合物沈積速率且可改善選擇性。
在與實施例1相同之條件(亦即30sccm蝕刻氣體,1sccm Ar,5Pa及300W)下,自兩種C4F6H2化合物沈積聚合物。聚合物以250nm/min自反式-1,1,1,4,4,4-六氟-2-丁烯沈積且展現0.53之F:C比。聚合物以220nm/min自環狀六氟異丁烯沈積且展現0.53之F:C比。
實施例4
下表概述多種蝕刻氣體之測試結果:
基於此等結果,最低聚合物沈積速率顯示所得聚合物(cC4F8及C4F8)中之最高F:C比。具有雙鍵之四種分子(亦即第2-5列)之間聚合
1cC4F8=八氟環丁烷;C4F6=六氟丁二烯;C4F8=八氟-2-丁烯
230sccm蝕刻氣體,1sccm Ar,5Pa及300W
物沈積速率(以nm/min表示)之巨大差異說明包含雙鍵並不排他性地控制聚合。實際上,沈積速率更密切地追隨切斷。換言之,產生F:C比較高之片段的分子已使聚合物沈積速率降低。
實施例5
分析增加H對SiO2蝕刻速率之影響。反式-1,1,2,2,3,4-六氟環丁烷之SiO2蝕刻速率對氧流量(以sccm計)之圖顯示於圖15中。cC4F5H3之SiO2蝕刻速率對氧流量之圖顯示於圖16中。用H置換一個F致使氧流速升高且製程窗變窄。
亦分析增加H對於氧化物選擇性對非晶碳(a-C)、光阻劑(PR)及氮化物之影響。反式-1,1,2,2,3,4-六氟環丁烷之選擇性對氧流量之圖提供在圖17中。cC4F5H3之選擇性對氧流量之圖顯示於圖18中。圖17及18中之分子流速與圖15及16中相同(亦即左側方形資料為5sccm蝕刻氣體流速,左起第二菱形資料為10sccm,右起第二三角形資料為15scorn,且右側圓形資料為20sccm)。在圖17及18中,實心符號表示氧化矽/光阻劑選擇性,空心符號表示氧化矽/氮化矽選擇性,且陰影符號表示氧化矽/非晶碳選擇性。
實施例6
下表概述多種蝕刻氣體之測試結果:
在類似SiO2蝕刻速率條件(ER 40-50nm/min)下比較分子。 選擇蝕刻速率範圍內之選擇性最佳之蝕刻氣體及氧流速。其他電漿條件固定(亦即Ar=150sccm,300W,5Pa)。PR、a-C及N行顯示SiO2與光阻劑(PR)、非晶碳(a-C)及氮化矽(N)之間的選擇性。基於此等結果,且尤其cC4F8、23102-94-4(反式-1,1,2,2,3,4-六氟環丁烷)及2253-02-3(1,1,2,2,3-五氟環丁烷)之結果,增加H使遮罩選擇性增加。另外,儘管66711-86-2(反式-1,1,1,4,4,4-六氟-2-丁烯)及382-10-5(六氟異丁烯)具有相同化學計量(亦即C4F6H2),但不同結構產生顯著不同之結果。
實施例7
分析在蝕刻一部分DRAM圖案堆疊時增加H含量之影響。該部分DRAM圖案化堆疊由抗反射塗層(ARC29a-0.8kÅ)上、氮氧化矽層(1.0kÅ)上、非晶碳層(3.5kÅ)上、4微米SiO2基板(Silox)上之P6100圖案(2.9kÅ)組成。以150sccm引入氬氣。使室維持在5Pa下。SAMCO RIE設定為300W。使用15sccm cC4F8且無氧蝕刻10分鐘之結果的掃描電子顯微照片提供在圖19中。使用15sccm cC4F6H2及12sccm氧蝕刻10分鐘之結果的掃描電子顯微照片提供在圖20中。使用15sccm cC4F5H3及22sccm氧蝕刻10分鐘之結果的掃描電子顯微照片提供在圖21中。如諸圖所見,增加H促成錐形特徵且導致蝕刻速率損失(590nm→380nm→270nm)。增加H含量維持窄溝槽。在蝕刻前在圖21中存在110nm溝槽,而該溝槽藉由cC4F6H2增加至270nm且藉由cC4F8增加至260nm。
實施例8
圖22為顯示H取代、雙鍵及添加O至C4F8分子中之影響的流程圖。C4F8顯示於圖22之左上角。當用氫原子置換2或3個F原子(沿頂列自左向右移動)時,可見SiO與遮罩之間的選擇性增加且聚合物沈積速率增加。然而,增加H分子亦需要增加O2稀釋。當用雙鍵置換兩個F原 子(亦即使分子自飽和變為不飽和)(自第一列中間向第二列右側移動)時,可見聚合物沈積速率增加但選擇性及O2稀釋要求類似。添加氧導致不良選擇性及無聚合物沈積(沿該頁左側之行向下移動)。當在含氧分子上用氫原子置換氟原子(該頁左下側)時,可見選擇性及聚合物沈積速率增加,但製程窗變窄。
實施例9
量測環狀C4F8(八氟環丁烷)、C4F6(六氟-1,3-丁二烯)及直鏈C4F6H2(CAS 66711-86-2)之沈積及蝕刻速率。
Lam蝕刻系統之電源或RF功率設定為750W且偏功率設定為1500W。壓力設定為30毫托。板間距離設定為1.35cm。以15sccm之流速引入氧。以250sccm之流速引入氬氣。以15sccm引入各蝕刻氣體。結果顯示於下表中:
66711-86-2(反式-1,1,1,4,4,4-六氟-2-丁烯)在氧化矽與非晶碳之間的選擇性優於習知cC4F8,其中氧化矽蝕刻速率類似。66711-86-2之沈積速率亦高於cC4F8
實施例10
量測使用1,1,1,2,4,4,4-七氟-2-丁烯於SiO2、SiN、p-Si(多晶矽)及a-C(非晶碳)之蝕刻速率。
Lam蝕刻系統之電源或RF功率設定為750W且偏功率設定為1500W。壓力設定為30毫托。板間距離設定為1.35cm。以15sccm之流
4cC4F8=八氟環丁烷;C4F6=六氟丁二烯
速引入氧。以250sccm之流速引入氬氣。以15sccm之流速引入1,1,1,2,4,4,4-七氟-2-丁烯。1,1,1,2,4,4,4-七氟-2-丁烯以550nm/min之速率蝕刻SiO2層。1,1,1,2,4,4,4-七氟-2-丁烯以150nm/min之速率蝕刻SiN層。1,1,1,2,4,4,4-七氟-2-丁烯以50nm/min之速率蝕刻p-Si層。1,1,1,2,4,4,4-七氟-2-丁烯以75nm/min之速率蝕刻a-c層。1,1,1,2,4,4,4-七氟-2-丁烯顯示在SiO2與p-Si及a-c之間的良好選擇性。
雖然已顯示且描述本發明之具體實例,但熟習此項技術者可在不背離本發明之精神或教示之情況下對其進行修改。本文所述之具體實例僅具例示性且不具限制性。對組成物及方法之許多變化及修改為可能的且在本發明之範疇內。因此,保護範疇不限於本文所述之具體實例,但僅受隨後之申請專利範圍限制,該範疇應包括申請專利範圍之標的之所有等效物。

Claims (23)

  1. 一種蝕刻含矽膜之方法,該方法包含向含有上面有含矽膜之基板的電漿反應室中引入蝕刻氣體,其中該蝕刻氣體選自由以下組成之群:反式-1,1,1,4,4,4-六氟-2-丁烯;順式-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯(CAS No.382-10-5);五氟環丁烷(1,1,2,2,3-);及四氟環丁烷(1,1,2,2-);向該電漿反應室中引入惰性氣體;及活化電漿以產生能夠自該基板選擇性地蝕刻該含矽膜之活化蝕刻氣體。
  2. 如申請專利範圍第1項之方法,其進一步包含自該室移除揮發性副產物,其中該活化蝕刻氣體與該含矽膜選擇性地反應以形成揮發性副產物。
  3. 如申請專利範圍第1項或第2項中任一項之方法,其中該惰性氣體選自由He、Ar、Xe、Kr及Ne組成之群。
  4. 如申請專利範圍第1項或第2項中任一項之方法,其中該惰性氣體佔引入該電漿反應室中之蝕刻氣體與惰性氣體之總體積之約50% v/v至約95% v/v。
  5. 如申請專利範圍第1項之方法,其中該蝕刻氣體為反式-1,1,1,4,4,4-六氟-2-丁烯。
  6. 如申請專利範圍第1項之方法,其中該蝕刻氣體為六氟異丁烯。
  7. 如申請專利範圍第1項或第2項中任一項之方法,其進一步包含向該電漿反應室中引入氧化劑。
  8. 如申請專利範圍第7項之方法,其中該氧化劑選自由O2、CO、CO2、NO、N2O及NO2組成之群。
  9. 如申請專利範圍第7項之方法,其中該氧化劑佔引入該電漿反應室中 之蝕刻氣體與氧化劑之總體積之約5% v/v至約100% v/v。
  10. 如申請專利範圍第7項之方法,其中該蝕刻氣體為反式-1,1,1,4,4,4-六氟-2-丁烯。
  11. 如申請專利範圍第7項之方法,其中該蝕刻氣體為六氟異丁烯。
  12. 如申請專利範圍第1項或第2項中任一項之方法,其中該含矽膜包含氧化矽、氮化矽、多晶矽或其組合之層。
  13. 如申請專利範圍第12項之方法,其中該含矽膜進一步包含氧原子、氮原子、碳原子或其組合。
  14. 如申請專利範圍第12項之方法,其中該含矽膜係自非晶碳層選擇性地蝕刻。
  15. 如申請專利範圍第12項之方法,其中該含矽膜係自光阻層選擇性地蝕刻。
  16. 如申請專利範圍第12項之方法,其中該含矽膜係自多晶矽層選擇性地蝕刻。
  17. 如申請專利範圍第12項之方法,其中該含矽膜係自金屬接觸層選擇性地蝕刻。
  18. 如申請專利範圍第1項或第2項中任一項之方法,其中該方法在該含矽膜中產生縱橫比介於約10:1與約100:1之間的孔口。
  19. 如申請專利範圍第1項或第2項中任一項之方法,其進一步包含藉由向該電漿反應室中引入第二氣體來改善選擇性,其中該第二氣體選自由cC4F8、C4F6、CF4、CHF3、CFH3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I及SO2組成之群。
  20. 一種電漿蝕刻化合物,其選自由以下組成之群:反式-1,1,1,4,4,4-六氟-2-丁烯;順式-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯(CAS No.382-10-5);五氟環丁烷(1,1,2,2,3-);及四氟環丁烷(1,1,2,2-),該電漿蝕刻化合物 具有至少99.9體積%之純度及小於0.1體積%之痕量氣體雜質,其中該等痕量氣體雜質中所包含之含氮及含氧氣體之總含量按體積計小於150ppm。
  21. 如申請專利範圍第20項之電漿蝕刻化合物,其中該含氧氣體為水且該電漿蝕刻化合物具有按重量計小於20ppm之水含量。
  22. 一種從非晶碳基板選擇性地蝕刻氧化矽膜的方法,該方法包含:將反式-1,1,1,4,4,4-六氟-2-丁烯蝕刻氣體引入至在該非晶碳基板上含有該氧化矽膜之電漿反應室中;將惰性氣體引入至該電漿反應室中;及藉由活化電漿從該非晶碳基板選擇性地蝕刻該氧化矽膜。
  23. 一種從矽層選擇性地蝕刻氧化矽膜及氮化矽膜的方法,該方法包含:將六氟異丁烯蝕刻氣體引入至在基板上含有該氧化矽膜及氮化矽膜之電漿反應室中;將惰性氣體引入至該電漿反應室中;及藉由活化電漿從該矽層選擇性地蝕刻該氧化矽膜及氮化矽膜。
TW102139056A 2012-10-30 2013-10-30 用於高縱橫比氧化物蝕刻之氟碳分子 TWI588240B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261720139P 2012-10-30 2012-10-30

Publications (2)

Publication Number Publication Date
TW201422780A TW201422780A (zh) 2014-06-16
TWI588240B true TWI588240B (zh) 2017-06-21

Family

ID=50628017

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102139056A TWI588240B (zh) 2012-10-30 2013-10-30 用於高縱橫比氧化物蝕刻之氟碳分子
TW106115006A TWI623510B (zh) 2012-10-30 2013-10-30 用於高縱橫比氧化物蝕刻之氟碳分子

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106115006A TWI623510B (zh) 2012-10-30 2013-10-30 用於高縱橫比氧化物蝕刻之氟碳分子

Country Status (7)

Country Link
US (3) US9514959B2 (zh)
JP (3) JP6257638B2 (zh)
KR (3) KR102048959B1 (zh)
CN (2) CN104885203B (zh)
SG (3) SG11201503321XA (zh)
TW (2) TWI588240B (zh)
WO (1) WO2014070838A1 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP2015170763A (ja) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2015156272A1 (ja) * 2014-04-08 2015-10-15 二プロ株式会社 医療用弁
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
SG11201705639VA (en) 2015-01-22 2017-08-30 Zeon Corp Plasma etching method
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
KR102204116B1 (ko) * 2016-09-14 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 높은 종횡비 구조의 스트립 프로세스
CN109997212B (zh) * 2016-11-29 2023-06-13 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP7036799B2 (ja) * 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
JP7030648B2 (ja) 2018-08-09 2022-03-07 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP7173799B2 (ja) * 2018-09-11 2022-11-16 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP6666601B2 (ja) * 2018-11-22 2020-03-18 東京エレクトロン株式会社 多孔質膜をエッチングする方法
JP6874778B2 (ja) * 2019-01-09 2021-05-19 ダイキン工業株式会社 シクロブタンの製造方法
EP3950653A4 (en) * 2019-03-27 2023-01-11 Daikin Industries, Ltd. PROCESS FOR PREPARING A HALOGENATED CYCLOALKAN COMPOUND
EP3987553B8 (en) * 2019-06-21 2023-12-06 Hitachi Energy Ltd Dielectric-insulation or arc-extinction fluid
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113035706A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀方法和刻蚀装置
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
CN116325087A (zh) * 2020-10-15 2023-06-23 株式会社力森诺科 蚀刻气体、蚀刻方法和半导体元件的制造方法
CN116325088A (zh) * 2020-10-15 2023-06-23 株式会社力森诺科 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法
JPWO2022080273A1 (zh) * 2020-10-15 2022-04-21
WO2022080268A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 エッチングガス、エッチング方法、及び半導体素子の製造方法
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW401602B (en) * 1996-10-30 2000-08-11 Agency Ind Science Techn Gaseous composition for dry-etching and dry-etching method
TW200926294A (en) * 2007-09-28 2009-06-16 Zeon Corp Method of plasma etching
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JP2570726B2 (ja) * 1987-03-05 1997-01-16 ミノルタ株式会社 摩擦帯電部材
JP3253215B2 (ja) 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JPH06329826A (ja) * 1993-05-17 1994-11-29 Daikin Ind Ltd フルオロシクロブタン化合物からなる発泡剤
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6228775B1 (en) * 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6412984B2 (en) * 1998-05-14 2002-07-02 Nsk Ltd. Dynamic pressure bearing apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4432230B2 (ja) * 2000-07-27 2010-03-17 日本ゼオン株式会社 フッ素化炭化水素の精製方法、溶剤、潤滑性重合体含有液および潤滑性重合体膜を有する物品
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6972265B1 (en) 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US20050014383A1 (en) 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
EP2258789A3 (en) 2004-12-21 2012-10-24 Honeywell International Inc. Stabilized iodocarbon compositions
US9175201B2 (en) 2004-12-21 2015-11-03 Honeywell International Inc. Stabilized iodocarbon compositions
JP4691702B2 (ja) * 2005-02-16 2011-06-01 独立行政法人産業技術総合研究所 トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタンの製造方法
US20060243944A1 (en) 2005-03-04 2006-11-02 Minor Barbara H Compositions comprising a fluoroolefin
JP5131436B2 (ja) * 2007-05-31 2013-01-30 日本ゼオン株式会社 エッチング方法
CA2684290C (en) 2007-06-12 2016-09-06 E. I. Du Pont De Nemours And Company Azeotropic and azeotrope-like compositions of e-1,1,1,4,4,4-hexafluoro-2-butene
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
JP2009093869A (ja) * 2007-10-05 2009-04-30 Sunarrow Ltd キーシートおよびその製造方法
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5341978B2 (ja) 2008-03-19 2013-11-13 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 1,1,1,4,4,4−ヘキサフルオロ−2−ブテンを作製する方法
JP5266902B2 (ja) * 2008-06-20 2013-08-21 日本ゼオン株式会社 含フッ素オレフィン化合物の製造方法
WO2010100254A1 (en) 2009-03-06 2010-09-10 Solvay Fluor Gmbh Use of unsaturated hydrofluorocarbons
JP2011060958A (ja) * 2009-09-09 2011-03-24 Toshiba Corp 半導体装置及びその製造方法
KR101790365B1 (ko) 2009-11-20 2017-10-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
CN102598266B (zh) * 2009-11-20 2015-04-22 株式会社半导体能源研究所 半导体装置
US20110144216A1 (en) * 2009-12-16 2011-06-16 Honeywell International Inc. Compositions and uses of cis-1,1,1,4,4,4-hexafluoro-2-butene
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
TWI523900B (zh) 2010-07-20 2016-03-01 首威索勒希斯股份有限公司 氟彈性體組合物
RU2010147004A (ru) 2010-11-17 2012-05-27 Е.И.Дюпон де Немур энд Компани (US) Каталитический синтез внутренних фторбутенов и внутренних фторпентенов
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
JP5682381B2 (ja) 2011-03-09 2015-03-11 日本ゼオン株式会社 含ハロゲノフッ素化シクロアルカン、及び含水素フッ素化シクロアルカンの製造方法
US20130098396A1 (en) 2011-10-19 2013-04-25 E I Du Pont De Nemours And Company Novel 1,1,1,4,4,5,5,6,6,6-decafluorohex-2-ene isomer mixtures and uses thereof
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
PE20142140A1 (es) 2012-02-17 2015-01-04 Du Pont Composiciones similares a azeotropos de z-1,1,1,4,4,4-hexafluoro-2-buteno y e-1,1,1,4,4,4-hexafluoro-2-buteno y usos de estos
CN114752386A (zh) 2013-03-28 2022-07-15 得凯莫斯公司弗罗里达有限公司 氢氟烯烃蚀刻气体混合物
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW401602B (en) * 1996-10-30 2000-08-11 Agency Ind Science Techn Gaseous composition for dry-etching and dry-etching method
TW200926294A (en) * 2007-09-28 2009-06-16 Zeon Corp Method of plasma etching
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Also Published As

Publication number Publication date
TW201730142A (zh) 2017-09-01
US20150294880A1 (en) 2015-10-15
SG11201503321XA (en) 2015-05-28
KR101564182B1 (ko) 2015-10-28
JP2018050074A (ja) 2018-03-29
TW201422780A (zh) 2014-06-16
KR102153246B1 (ko) 2020-09-07
JP6527214B2 (ja) 2019-06-05
JP2019195062A (ja) 2019-11-07
US20190326129A1 (en) 2019-10-24
TWI623510B (zh) 2018-05-11
SG10201703513WA (en) 2017-06-29
SG10202113236SA (en) 2021-12-30
US20170032976A1 (en) 2017-02-02
JP6257638B2 (ja) 2018-01-10
WO2014070838A1 (en) 2014-05-08
CN104885203A (zh) 2015-09-02
CN107275206B (zh) 2021-03-26
US10381240B2 (en) 2019-08-13
US11152223B2 (en) 2021-10-19
KR20140090241A (ko) 2014-07-16
CN104885203B (zh) 2017-08-01
KR20190132564A (ko) 2019-11-27
US9514959B2 (en) 2016-12-06
CN107275206A (zh) 2017-10-20
KR20150122266A (ko) 2015-10-30
KR102048959B1 (ko) 2019-11-27
JP6811284B2 (ja) 2021-01-13
JP2015533029A (ja) 2015-11-16

Similar Documents

Publication Publication Date Title
TWI588240B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
JP7227135B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
KR102480249B1 (ko) 에칭 기체로 반도체 구조를 에칭하는 방법
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物