JP6527214B2 - エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法 - Google Patents

エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法 Download PDF

Info

Publication number
JP6527214B2
JP6527214B2 JP2017233163A JP2017233163A JP6527214B2 JP 6527214 B2 JP6527214 B2 JP 6527214B2 JP 2017233163 A JP2017233163 A JP 2017233163A JP 2017233163 A JP2017233163 A JP 2017233163A JP 6527214 B2 JP6527214 B2 JP 6527214B2
Authority
JP
Japan
Prior art keywords
etching
etch
gas
layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017233163A
Other languages
English (en)
Other versions
JP2018050074A (ja
Inventor
アンダーソン カーティス
アンダーソン カーティス
グプタ ラーフル
グプタ ラーフル
エム オマールジー ヴィンセント
エム オマールジー ヴィンセント
スタフォード ネイサン
スタフォード ネイサン
デュサラ クリスチャン
デュサラ クリスチャン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2018050074A publication Critical patent/JP2018050074A/ja
Application granted granted Critical
Publication of JP6527214B2 publication Critical patent/JP6527214B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/26Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton
    • C07C17/263Preparation of halogenated hydrocarbons by reactions involving an increase in the number of carbon atoms in the skeleton by condensation reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C23/00Compounds containing at least one halogen atom bound to a ring other than a six-membered aromatic ring
    • C07C23/02Monocyclic halogenated hydrocarbons
    • C07C23/06Monocyclic halogenated hydrocarbons with a four-membered ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/04Systems containing only non-condensed rings with a four-membered ring

Description

基板上のSi含有層において高アスペクト比のチャンネルホール、ゲートトレンチ、ステアケースコンタクト(staircase contacts)、コンデンサホール(capacitor holes)、コンタクトホール等をプラズマエッチングするエッチングガス(Etching gases)を開示する。該エッチングガスを使用するプラズマエッチング方法も開示する。
[関連出願の相互参照]
本願は2012年10月30日付けで出願された米国特許出願公開第61/720,139号(その内容全体が引用することにより本明細書の一部をなす)に対する優先権を主張するものである。
DRAM及び2D NAND等の、半導体産業におけるメモリ用途では、プラズマエッチングによってSiO層又はSiN層等のケイ素含有層が半導体基板から除去される。3D NAND(Hwang他に対する特許文献1)等の新規なメモリ用途では、複数のSiO/SiN又はSiO/ポリSi層のスタックの高アスペクト比エッチングが重要である。好ましくは、エッチング液が、マスクとエッチングされる層との間で高い選択性を有する。さらに、エッチング液によって好ましくは、垂直プロファイルが湾曲(bowing:ボーイング)することなく直線となるように構造がエッチングされる。3D NANDスタックは、他のケイ素含有層を含む場合がある。
従来、プラズマエッチングは、ガス源(水素含有ガス、酸素含有ガス又はフッ素含有ガス等)から活性種を発生させるプラズマ源を用いて行われる。その後、活性種をSi含有層と反応させると、フルオロカーボンブロッキング被覆層(fluorocarbon blocking overlayer)及び揮発性種が形成される。リアクタ内を低圧にすることによって揮発性種を除去し、この低圧を真空ポンプによって維持する。マスク材料が活性種によってエッチングされないことが好ましい。マスク材料は、フォトレジスト、アモルファスカーボン、ポリシリコン、金属、又はエッチングしない他のハードマスクのうちの1つを含むものであってもよい。
従来のエッチングガス(etch gases)としては、cC(オクタフルオロシクロブタン)、C(ヘキサフルオロ−1,3−ブタジエン)、CF、CH、CHF及び/又はCHFが挙げられる。これらのエッチングガスはまた、エッチング中にポリマーを形成することができる。ポリマーは、パターンエッチング構造の側壁上で保護層として作用する。このポリマー保護層によって、非垂直構造、湾曲及び寸法変化を引き起こすおそれのある、イオン及びラジカルによる側壁のエッチングが妨げられる。F:C比、SiO:SiN選択性、及びポリマー堆積速度の間には関連性が確立されている(例えば、非特許文献1、及びF/C比の値が小さい程、窒化物に対する包括的な選択性の上昇が示される、Hung他に対する特許文献2の図5を参照されたい)。
化学エッチング等の従来の乾式エッチング法では、化学エッチング中に要求される高圧条件が、形成される開口部に有害な影響を有するおそれがあることから、必要な高アスペクト比(20:1を超える)をもたらすことができない。C及びC等の従来の化学物質も、エッチングメーカーが、従来の化学物質を機能させるのに使用される利用可能なパラメータ、例えば、RF電力、RF周波数、パルス化スキーム及び調整スキームを急速に使い尽くしていることから、要求される高アスペクト比をもたらすのに不十分で
あると思われる。従来の化学物質はもはや、プラズマエッチングプロセス中に高アスペクト比の側壁上に十分なポリマー堆積をもたらすものではない。加えて、側壁上のC(式中、x及びyはそれぞれ独立して1〜4の範囲をとる)ポリマーは、エッチングを起こしやすい。結果として、エッチングされたパターンは垂直とすることができず、構造は湾曲、寸法変化及び/又はパターン崩壊を示すおそれがある。
パターンのエッチングに関する1つの重要な問題は湾曲である。湾曲は、マスク層の側壁のエッチングに起因することが多いが、このマスク層は多くの場合アモルファスカーボン材料である。アモルファスカーボン材料は、マスクの開口を広げて弓状のエッチング構造をもたらす、プラズマ中の酸素ラジカルによってエッチングされることがある。
Trappに対する特許文献3は、酸化ケイ素層を通じた高アスペクト比のコンタクト開口を形成するプラズマエッチングプロセスを開示している。Trappは、フルオロカーボン(C)及びフッ化炭化水素(C)エッチング化学物質への、窒素を含むガス、例えばNHの混入による、レジスト選択性の改良及びストリエーションの低減を開示している。35のフルオロカーボン及びフッ化炭化水素化学物質のリストが開示されているが、構造式、CAS番号又は異性体情報は提示されていない。
Solvay Fluor GmbHに対する特許文献4は、半導体エッチング又はチャンバクリーニング用のエッチングガスとして含む、多種多様なプロセス用の或る特定のヒドロフルオロアルケンの使用を開示している。ヒドロフルオロアルケンは、下記の群a)及びb)のそれぞれから選択される少なくとも1つの化合物の混合物を含み得る:
a)(Z)−1,1,1,3−テトラフルオロブタ−2−エン、(E)−1,1,1,3−テトラフルオロブタ−2−エン又は2,4,4,4−テトラフルオロブタ−1−エン、並びに、
b)1,1,1,4,4,4−ヘキサフルオロブタ−2−エン、1,1,2,3,4,4−ヘキサフルオロブタ−2−エン、1,1,1,3,4,4−ヘキサフルオロブタ−2−エン及び1,1,1,2,4,4−ヘキサフルオロブタ−2−エン。
現行の技術水準の垂直3D NAND構造は、材料が交互するスタックを通じて極めて高いアスペクト比を要求している。
米国特許出願公開第2011/0180941号明細書 米国特許第6387287号明細書 米国特許第6569774号明細書 国際公開第2010/100254号
Lieberman and Lichtenberg, Principles of Plasma Discharges and Materials Processing, Second Edition, Wiley-Interscience, A John Wiley & Sons Publication, 2005, pp. 595-596
高アスペクト比の開口部を形成するプラズマの利用における使用のための新たなエッチングガス組成物に対する必要性が依然として存在している。
<表記法及び学術用語>
下記明細書及び特許請求の範囲全体を通じて或る特定の略語、記号及び用語を使用する。
本明細書中で使用する場合、「エッチング」("etch" or "etching")という用語は、イオン衝撃によって化学反応を垂直方向に促進させることで、マスクされたフィーチャ(features)の縁に沿って基板に対して直角に垂直側壁を形成する、プラズマエッチングプロセス(すなわち、乾式エッチングプロセス)を指す(Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13)。エッチングプロセスは、基板に、ビア、トレンチ、チャンネルホール、ゲートトレンチ、ステアケースコンタクト、コンデンサホール、コンタクトホール等の開口部を作製する。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有層のスタック上のパターン化されたマスク層等の非平面構造をエッチングすることを指す。「マスク」という用語は、エッチングに耐性を示す層を指す。マスク層は、エッチングする層の上又は下に配置されていてもよい。
「選択性」という用語は、或る材料のエッチング速度と、別の材料のエッチング速度との比率を意味する。「選択性エッチング」又は「選択的にエッチングする」という用語は、別の材料よりも或る材料を一層エッチングすること、すなわち言い換えると、2つの材料間に1:1よりも大きいか又は小さいエッチング選択性を有することを意味する。
本明細書中で使用する場合、不定冠詞「a」又は「an」は1つ又は複数を意味する。
元素の周期表による元素の標準的な略語を本明細書中では使用している。元素が、これらの略語(例えば、Sは硫黄を指し、Siはケイ素を指し、Hは水素を指す等)によって表され得ることを理解されたい。
本明細書中で使用する場合、「NAND」という略語は、「ANDの逆(Negated AND)」又は「ANDの否定(Not AND)」ゲートを指し、「2D」という用語は平面基板上の2次元ゲート構造を指し、「3D」という略語は、ゲート構造が垂直方向にスタックされている3次元ゲート構造又は垂直ゲート構造を指し、「DRAM」という略語はダイナミックランダムアクセスメモリを指す。
明細書及び特許請求の範囲全体を通じて、それらの適切な化学量論比を考慮することなく、SiN及びSiO等のSi含有薄膜が挙げられることに留意されたい。ケイ素含有層としては、結晶Si、ポリシリコン(ポリSi又は多結晶Si)、又はアモルファスシリコン等の純シリコン(Si)層;窒化ケイ素(Si)層;若しくは酸化ケイ素(Si)層;又はそれらの混合物を挙げることができ、式中、k、l、m及びnは包含的に1〜6の範囲をとる。好ましくは、窒化ケイ素がSi(式中、k及びlはそれぞれ0.5〜1.5の範囲をとる)である。より好ましくは、窒化ケイ素がSiである。好ましくは、酸化ケイ素がSi(式中、nは0.5〜1.5の範囲をとり、mは1.5〜3.5の範囲をとる)である。より好ましくは、酸化ケイ素がSiO又はSiOである。ケイ素含有層はまた、酸化ケイ素ベースの誘電体材料、例えば、Applied Materials, Inc.によるBlack Diamond II材料又はBlack Diamond III材料等の有機物ベース又は酸化ケイ素ベースのlow−k誘電体材料とすることができる。ケイ素含有層はまた、B、C、P、As及び/又はGe等のドーパントを含んでいてもよい。
<概要>
ケイ素含有薄膜をエッチングする方法を開示する。エッチングガスを、基板上にケイ素含有薄膜を有するプラズマ反応チャンバ内に導入する。エッチングガスは、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン;cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン;ヘキサフルオロイソブテン;trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン;1,1,2,2,3−ペンタフルオロシクロブタン;1,1,2,2−テトラフルオロシクロブタン;又はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタンである。不活性ガスをプラズマ反応チャンバ内に導入する。プラズマが活性化して、基板からケイ素含有薄膜を選択的にエッチングすることができる活性化エッチングガスを発生させる。本開示の方法は、以下の態様の1つ又は複数を含み得る。
・エッチングガスがtrans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンである、
・エッチングガスがcis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンである、
・エッチングガスがヘキサフルオロイソブテンである、
・エッチングガスがtrans−1,1,2,2,3,4−ヘキサフルオロシクロブタンである、
・エッチングガスが1,1,2,2,3−ペンタフルオロシクロブタンである、
・エッチングガスが1,1,2,2−テトラフルオロシクロブタンである、
・エッチングガスがcis−1,1,2,2,3,4−ヘキサフルオロシクロブタンである、
・活性化エッチングガスがケイ素含有薄膜と選択的に反応して揮発性副産物を形成させる、
・プラズマ反応チャンバから揮発性副産物を除去すること、
・不活性ガスがHe、Ar、Xe、Kr及びNeよりなる群から選択される、
・不活性ガスがArである、
・プラズマ反応チャンバに導入する前にエッチングガスと不活性ガスとを混合して、混合物を生成すること、
・不活性ガスとは別にプラズマ反応チャンバ内にエッチングガスを導入すること、
・プラズマ反応チャンバ内に連続的に不活性ガスを導入すること、及びプラズマ反応チャンバ内に断続的に(in pulses)エッチングガスを導入すること、
・不活性ガスが、プラズマ反応チャンバ内に導入されるエッチングガスと不活性ガスとの全体積のおよそ50%v/v〜およそ95%v/vを構成する、
・プラズマ反応チャンバ内に酸化剤を導入すること、
・プラズマ反応チャンバ内に酸化剤を導入しないこと、
・酸化剤が、O、CO、CO、NO、NO及びNOよりなる群から選択される、・酸化剤がOである、
・プラズマ反応チャンバ内への導入前に、エッチングガスと酸化剤とを混合すること、
・酸化剤とは別にプラズマ反応チャンバ内にエッチングガスを導入すること、
・プラズマ反応チャンバ内に連続的に酸化剤を導入すること、及びプラズマ反応チャンバ内に断続的にエッチングガスを導入すること、
・酸化剤が、プラズマ反応チャンバ内に導入されるエッチングガスと酸化剤との全体積のおよそ5%v/v〜およそ100%v/vを構成する、
・ケイ素含有薄膜が、酸化ケイ素、窒化ケイ素、ポリシリコン、又はそれらの組合せの層を含む、
・ケイ素含有薄膜が、酸素原子、窒素原子、炭素原子、又はそれらの組合せを含む、
・ケイ素含有薄膜が炭化ケイ素を含まない、
・ケイ素含有薄膜がアモルファスカーボン層から選択的にエッチングされる、
・ケイ素含有薄膜がフォトレジスト層から選択的にエッチングされる、
・ケイ素含有薄膜がポリシリコン層から選択的にエッチングされる、
・ケイ素含有薄膜が金属コンタクト層から選択的にエッチングされる、
・ケイ素含有薄膜が酸化ケイ素層である、
・酸化ケイ素層が多孔質SiCOH薄膜である、
・アモルファスカーボン層から酸化ケイ素層を選択的にエッチングすること、
・フォトレジスト層から酸化ケイ素層を選択的にエッチングすること、
・ポリシリコン層から酸化ケイ素層を選択的にエッチングすること、
・金属コンタクト層から酸化ケイ素層を選択的にエッチングすること、
・SiN層からから酸化ケイ素層を選択的にエッチングすること、
・ケイ素含有薄膜が窒化ケイ素層である、
・アモルファスカーボン層から窒化ケイ素層を選択的にエッチングすること、
・パターン化されたフォトレジスト層から窒化ケイ素層を選択的にエッチングすること、・ポリシリコン層から窒化ケイ素層を選択的にエッチングすること、
・金属コンタクト層から窒化ケイ素層を選択的にエッチングすること、
・SiO層から窒化ケイ素層を選択的にエッチングすること、
・シリコン層から酸化ケイ素及び窒化ケイ素の両方を選択的にエッチングすること、
・ケイ素含有薄膜に、およそ10:1〜およそ100:1のアスペクト比を有する開口部を作製すること、
・ゲートトレンチを作製すること、
・ステアケースコンタクトを作製すること、
・チャンネルホールを作製すること、
・およそ60:1〜およそ100:1のアスペクト比を有するチャンネルホールを作製すること、
・およそ40nm〜およそ50nmの範囲をとる直径を有するチャンネルホールを作製すること、
・プラズマ反応チャンバ内に第2のガスを導入することによって選択性を改良すること、・第2のガスが、cC、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI及びSOよりなる群から選択される、
・第2のガスがcCである、
・第2のガスがcCである、
・第2のガスがCである、
・プラズマ反応チャンバへの導入前に、エッチングガスと第2のガスとを混合すること、・第2のガスとは別にプラズマ反応チャンバ内にエッチングガスを導入すること、
・チャンバ内に、およそ1%v/v〜およそ99.9%v/vの第2のガスを導入すること、
・およそ25W〜およそ10000Wの範囲をとるRF電力によってプラズマを活性化させること、
・プラズマ反応チャンバが、およそ1mTorr〜およそ10Torrの範囲をとる圧力を有する、
・およそ5sccm〜およそ1slmの範囲をとる流量で、プラズマ反応チャンバにエッチングガスを導入すること、
・およそ−196℃〜およそ500℃の範囲をとる温度で基板を維持すること、
・およそ−120℃〜およそ300℃の範囲をとる温度で基板を維持すること、
・およそ−10℃〜およそ40℃の範囲をとる温度で基板を維持すること、
・四重極型質量分析計、発光分光分析装置、FTIR、又は他のラジカル/イオン測定器によって活性化エッチングガスを測定すること、
・RF電力を印加することによってプラズマを発生させること。
trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン、cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン、ヘキサフルオロイソブテン、tran
s−1,1,2,2,3,4−ヘキサフルオロシクロブタン、1,1,2,2,3−ペンタフルオロシクロブタン、1,1,2,2−テトラフルオロシクロブタン、又はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタンから選択されるプラズマエッチング化合物も開示する。プラズマエッチング化合物は、少なくとも99.9体積%の純度、及び0.1体積%未満の微量のガス不純物を有する。該微量のガス状不純物中に含まれる窒素含有ガスと酸素含有ガスとの総含有率は、150体積ppm未満である。本開示のプラズマエッチング化合物は、以下の態様の1つ又は複数を含み得る:
・エッチング化合物がtrans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンである、
・エッチング化合物がcis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンである、
・エッチング化合物がヘキサフルオロイソブテンである、
・エッチング化合物がtrans−1,1,2,2,3,4−ヘキサフルオロシクロブタンである、
・エッチング化合物が1,1,2,2,3−ペンタフルオロシクロブタンである、
・エッチング化合物が1,1,2,2−テトラフルオロシクロブタンである、
・エッチング化合物がcis−1,1,2,2,3,4−ヘキサフルオロシクロブタンである、
・酸素含有ガスが水である、
・酸素含有ガスがCOである、
・窒素含有ガスがNである、及び、
・プラズマエッチング化合物の含水量が20重量ppm未満である。
本発明の性質及び課題の更なる理解のために、添付の図面と併せて以下の詳細な説明について参照されたい。ここで、同様の要素には同じ又は類似の参照番号が付されている。
trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの構造式を示す図である。 cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの構造式を示す図である。 trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンの構造式を示す図である。 cis−1,1,2,2,3,4−ヘキサフルオロシクロブタンの構造式を示す図である。 ヘキサフルオロイソブテンの構造式を示す図である。 1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンの構造式を示す図である。 1,1,2,2,3−ペンタフルオロシクロブタンの構造式を示す図である。 1,1,2,2−テトラフルオロシクロブタンの構造式を示す図である。 3D NANDスタックにおける例示的な層を示す図である。 DRAMスタックにおける例示的な層を示す図である。 エネルギー(eV単位)に対して、Cにより生成される化学種の画分の体積をプロットする質量分析(MS)グラフである。 エネルギーに対して、Cにより生成される化学種の画分の体積をプロットするMSグラフである。 エネルギーに対して、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンにより生成される化学種の画分の体積をプロットするMSグラフである。 エネルギーに対して、ヘキサフルオロイソブテンにより生成される化学種の画分の体積をプロットするMSグラフである。 trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンに関する、酸素流(sccm単位)に対するSiOエッチング速度のグラフである。 cCに関する、酸素流に対するSiOエッチング速度のグラフである。 trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンに関する、酸素流に対する選択性のグラフである。 cCに関する、酸素流に対する選択性のグラフである。 15sccmのcCを使用するとともに酸素を使用しなかった10分のエッチングの結果の走査型電子顕微鏡写真(SEM)である。 15sccmのcC及び12sccmの酸素を使用した10分のエッチングの結果のSEMである。 15sccmのcC及び22sccmの酸素を使用した10分のエッチングの結果のSEMである。 H置換、二重結合、及びC分子へのOの付加の効果を示すフローチャートである。
ケイ素含有層に、チャンネルホール、ゲートトレンチ、ステアケースコンタクト、コンデンサホール、コンタクトホール等をプラズマエッチングするエッチングガスを開示する。本開示のエッチングガスは、高アスペクト比構造において、マスク層に対するより高い選択性を提供することができるとともに、プロファイルの歪みをもたらすことがない。
プラズマエッチングガスは、パターン高アスペクト比構造において、Si含有層とマスク材料との間の選択性の改良、チャンネル領域に対する損傷の軽減、及び湾曲の低減を提供することができる。プラズマエッチングガスはまた、ポリSi、SiO及び/又はSiNの交互層を通じてエッチングして、垂直なエッチングプロファイルをもたらすことができる。
以下の化合物が本開示のプラズマエッチングガスを形成する:trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン;cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン;ヘキサフルオロイソブテン;trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン;1,1,2,2,3−ペンタフルオロシクロブタン;1,1,2,2−テトラフルオロシクロブタン;又はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタン。これらの化合物は市販されている。
本開示のプラズマエッチングガスは、99.9%v/vより高い純度で、好ましくは99.99%v/vより高い純度で、より好ましくは99.999%v/vより高い純度で準備される。本開示のエッチングガスは、0.1体積%未満の微量のガス不純物を含有し、該微量のガス状不純物中に含まれる窒素含有ガス及び酸素含有ガス、例えば、N及び/又はHO及び/又はCOは150体積ppm未満とする。好ましくは、プラズマエッチングガス中の含水量は20重量ppm未満である。精製物は、蒸留によって、及び/又は、気体又は液体を4A分子篩等の好適な吸着材に通すことによって生成することができる。
一実施形態において、本開示のプラズマエッチングガスは、5%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、更に好ましくは0.01%v/v未満のその異性体の任意のものを含有する。この実施形態は、より良好なプロセス反復性を提供することができる。この実施形態は、気体又は液体の蒸留によって生成すること
ができる。代替的な実施形態では、本開示のプラズマエッチングガスが、とりわけ、異性体混合物がプロセスパラメータの改良をもたらすか、又は標的異性体の単離が困難か若しくは費用がかかる場合に、5%v/v〜50%v/vのその異性体の1つ又は複数を含有していてもよい。例えば、異性体の混合物は、プラズマリアクタへの2つ以上のガスラインの必要性を軽減することができる。
図1はtrans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの構造式である。trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンのCAS番号は66711−86−2である。trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの沸点は8.5℃である。
図2はcis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの構造式である。cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンのCAS番号は692−49−9である。cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンの沸点は33℃である。
図3はtrans−1,1,2,2,3,4−ヘキサフルオロシクロブタンの構造式である。trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンのCAS番号は23012−94−4である。trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンの沸点は27℃である。
図4はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタンの構造式である。cis−1,1,2,2,3,4−ヘキサフルオロシクロブタンのCAS番号は22819−47−2である。cis−1,1,2,2,3,4−ヘキサフルオロシクロブタンの沸点は63℃である。
図5はヘキサフルオロイソブテンの構造式である。ヘキサフルオロイソブテンのCAS番号は382−10−5である。ヘキサフルオロイソブテンの沸点は14.5℃である。
図6は1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンの構造式である。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンのCAS番号は760−42−9である。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンの沸点は8℃である。
図7は1,1,2,2,3−ペンタフルオロシクロブタンの構造式である。1,1,2,2,3−ペンタフルオロシクロブタンのCAS番号は2253−02−3である。1,1,2,2,3−ペンタフルオロシクロブタンの沸点は53℃である。
図8は1,1,2,2−テトラフルオロシクロブタンの構造式である。1,1,2,2−テトラフルオロシクロブタンのCAS番号は374−12−9である。1,1,2,2−テトラフルオロシクロブタンの沸点は50℃である。
これらの化合物の幾つかは、室温及び大気圧においてガス状である。非ガス状(すなわち、液体)化合物では、直接気化等の従来の気化工程を通じて化合物を気化させることによって、又は泡立たせることによって気体状態を生成することができる。化合物は、リアクタ内に導入する前に気化させる気化装置に液体状態で供給してもよい。代替的に、化合物を入れた容器にキャリアガスを通すことによって、又はキャリアガスを化合物中に泡立たせることによって、化合物を気化してもよい。キャリアガスとしては、Ar、He、N、及びそれらの混合物が挙げられ得るが、これらに限定されない。また、キャリアガスで泡立たせることによって、エッチングガス中に存在するあらゆる溶存酸素を除去するこ
とができる。キャリアガス及び化合物をその後リアクタ内に蒸気として導入する。
必要であれば、エッチングツール内への送達のために、化合物を入れた容器を、化合物が十分な蒸気圧を有することを可能にする温度に加熱してもよい。容器は、例えば、およそ25℃〜およそ100℃、好ましくはおよそ25℃〜およそ50℃の範囲の温度に維持してもよい。より好ましくは、エッチングツールへのラインを加熱することを避けるために、容器を室温(約25℃)に維持する。当業者は、容器の温度を既知の方法で調節して、気化される化合物の量を制御し得ることを認識している。
本開示のエッチングガスは、1つ又は複数のSi含有層に、チャンネルホール、ゲートトレンチ、ステアケースコンタクト、コンデンサホール、コンタクトホール等をプラズマエッチングするのに適しており、また、本開示のエッチングガスが、高アスペクト比構造の良好なプロファイルに加えてマスク上での損傷をほとんど又は全く誘導しないことから、現在及び次世代のマスク材料に適合性がある。それらの特性を実現するために、本開示のエッチングガスは、エッチング中にエッチング耐性ポリマー層を堆積させて、エッチングプロセス中に酸素ラジカル及びフッ素ラジカルの直接的な衝突の低減を助けることができる。本開示の化合物はまた、エッチング中にポリSiチャンネル構造に対する損傷を軽減させることができる(Hwang他に対する特許文献1を参照されたい)。エッチングガスは、リアクタ/チャンバ内への送達のために、適切に揮発性であるとともに、エッチングプロセス中に安定であることが好ましい。
本開示のエッチングガスは、基板上のケイ素含有層をプラズマエッチングするのに使用することができる。本開示のプラズマエッチング方法は、NANDゲート若しくは3D NANDゲート、又はフラッシュメモリ若しくはDRAMメモリ等の半導体素子の製造において有用とすることができる。本開示のエッチングガスは、他の利用分野、例えば、ラインのフロントエンド(FEOL)及びラインのバックエンド(BEOL)の種々のエッチング用途に使用することができる。加えて、本開示のエッチングガスは、ロジック基板上にメモリ基板を相互接続するような3D TSV(シリコン貫通ビア)エッチング用途において、Siをエッチングするのにも使用することができる。
プラズマエッチング方法は、基板を内部に設けたプラズマ反応チャンバを準備することを含む。プラズマ反応チャンバは、エッチング方法を行う、素子内のいずれの封入容器又はチャンバ、例えば、限定するものではないが、反応性イオンエッチング(RIE)、単一又は複数の周波数RF源によるデュアル容量結合プラズマ(Dual Capacitively Coupled Plasma)(CCP)、誘導結合プラズマ(ICP)、若しくはマイクロ波プラズマリアクタ、又はSi含有層の一部分を選択的に除去するか、若しくは活性種を発生させることができる他のタイプのエッチングシステムであってもよい。当業者であれば、種々のプラズマ反応チャンバ設計によって種々の電子温度制御が提供されることを認識するであろう。好適な市販されているプラズマ反応チャンバとしては、商標eMAX(商標)として販売されている、Applied Materialsの磁気強化反応性イオンエッチング装置、又は商標2300(R)Flex(商標)として販売されている、Lam ResearchのデュアルCCP反応性イオンエッチング装置の誘電体エッチング製品ファミリーが挙げられるが、これらに限定されない。
プラズマ反応チャンバは1つ又は2つ以上の基板を含有していてもよい。例えば、プラズマ反応チャンバは、25.4mm〜450mmの直径を有する1〜200のシリコンウエハを含有していてもよい。1つ又は複数の基板は、半導体素子、光起電素子、フラットパネル素子又はLCD−TFT素子の製造に使用される好適ないずれの基板であってもよい。基板は、その上に、1つ又は複数のケイ素含有薄膜又はケイ素含有層を含む複数の薄膜又は層を有すると考えられる。基板はパターン化されていてもされていなくてもよい。
好適な層の例としては、限定するものではないが、シリコン(アモルファスシリコン、ポリシリコン、結晶シリコン、B、C、P、As及び/又はGeで更にp型又はn型にドープされ得るいずれかのもの等)、シリカ、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、タングステン、窒化チタン、窒化タンタル、マスク材料、例えば、アモルファスカーボン、反射防止コーティング、フォトレジスト材料、又はそれらの組合せが挙げられる。酸化ケイ素層は、有機物ベース又は酸化ケイ素ベースのlow−k誘電体材料(例えば、多孔質SiCOH薄膜)等の誘電体材料を形成することができる。例示的なlow−k誘電体材料は、Applied Materialsによって、商品名Black Diamond II又はBlack Diamond IIIとして販売されている。加えて、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層を使用してもよい。
基板は、図9及び図10に示されるもののように、その上に複数のケイ素含有層のスタックを備えていてもよい。図9には、7つのSiO/SiN層のスタックがシリコンウエハ基板の上部に配置されている(すなわち、ONON技術又はTCAT技術)。当業者であれば、技術によっては、SiN層をポリSi層と置き換えること(すなわち、P−BICS技術におけるSiO/ポリSi層)を認識するであろう。当業者であれば更に、3D
NANDスタックにおけるSiO/SiN又はSiO/ポリSi層の数が様々な値をとり得る(すなわち、図示されるSiO/SiN層が7つより多い又はより少ないことがある)ことを認識するであろう。アモルファスカーボンマスク層が7つのSiO/SiN層の上部に配置される。反射防止コーティング層がアモルファスカーボンマスクの上部に配置される。パターンフォトレジスト層が反射防止コーティングの上部に配置される。図9における層のスタックは、3D NANDゲートに使用されるものと同様の層を反映するものである。図10では、厚いSiO層がシリコンウエハ基板の上部に配置される。アモルファスカーボンマスク層が厚いSiO層の上部に配置される。反射防止コーティング層がアモルファスカーボンマスクの上部に配置される。パターンフォトレジスト層が反射防止コーティングの上部に配置される。図10における層のスタックは、DRAMゲートに使用されるものと同様の層を反映するものである。本開示のエッチングガスは、アモルファスカーボンマスク、反射防止コーティング又はフォトレジスト層よりも、ケイ素含有層(すなわち、SiO、SiN、ポリSi)を選択的にエッチングする。それらの層は、同じ又は異なる反応チャンバ内で他のエッチングガスによって除去することができる。当業者であれば、例示的な目的でのみ、図9及び図10における層のスタックが提示されることを認識するであろう。
本開示のエッチングガスを、基板及びケイ素含有層を入れたプラズマ反応チャンバ内に導入する。およそ0.1sccm〜およそ1slmの範囲をとる流量でガスをチャンバに導入することができる。例えば、200mmのウエハサイズでは、およそ5sccm〜およそ50sccmの範囲をとる流量でガスをチャンバに導入することができる。代替的に、450mmのウエハサイズでは、およそ25sccm〜およそ250sccmの範囲をとる流量でガスをチャンバに導入することができる。当業者であれば、ツールによって流量が異なることを認識するであろう。
プラズマを維持するために、不活性ガスもプラズマ反応チャンバに導入する。不活性ガスは、He、Ar、Xe、Kr、Ne又はそれらの組合せとすることができる。エッチングガス及び不活性ガスは、チャンバに導入する前に混合してもよく、不活性ガスは得られる混合物のおよそ50%v/v〜およそ95%v/vを構成する。代替的には、エッチングガスを断続的にチャンバに導入する一方、不活性ガスをチャンバに連続的に導入してもよい。
本開示のエッチングガス及び不活性ガスはプラズマによって活性化されて、活性化エッチングガスを発生させる。プラズマがエッチングガスをラジカル形態(すなわち、活性化
エッチングガス)へと分解する。プラズマは、RF電力又はDC電力を印加することによって発生し得る。プラズマは約25W〜約10000Wの範囲をとるRF電力で発生し得る。プラズマはリアクタ自体の内部で発生するか又は存在し得る。プラズマは、RFを両電極に印加してデュアルCCP又はICPモードで発生し得る。プラズマのRF周波数は200KHz〜1GHzの範囲をとることができる。異なる周波数の種々のRF源を、同じ電極で連結して利用することができる。また、プラズマRFパルス化を使用して、基板における分子フラグメンテーション及び反応を制御することができる。当業者であれば、かかるプラズマ処理に適する方法及び装置を認識するであろう。
四重極型質量分析計(QMS)、発光分光分析装置、FTIR、又は他のラジカル/イオン測定器によって活性化エッチングガスを測定して、生成される化学種のタイプ及び数を求めることができる。必要であれば、エッチングガス及び/又は不活性ガスの流量を調節して、生成されるラジカル種の数を増大又は減少させることができる。
本開示のエッチングガスは、プラズマ反応チャンバ内への導入前に、又はプラズマ反応チャンバ内部で他のガスと混合してもよい。好ましくは、ガスは、投入するガスの均一な濃度を提供するためにチャンバへの導入前に混合することができる。2つ以上のガスが反応する場合等の別の代替形態では、他のガスとは独立してエッチングガスをチャンバ内に導入することができる。別の代替形態では、エッチングガス及び不活性ガスが、エッチングプロセス中に使用される唯2つのガスである。
例示的な他のガスとしては、限定するものではないが、O、O、CO、CO、NO、NO、NO及びそれらの組合せ等の酸化剤が挙げられる。本開示のエッチングガス及び酸化剤は、プラズマ反応チャンバ内への導入前に互いに混合することができる。代替的に、酸化剤はチャンバ内に連続的に導入することができ、エッチングガスはチャンバ内に断続的に導入することができる。酸化剤は、チャンバ内に導入される混合物のおよそ5%v/v〜およそ100%v/vを構成することができる(100%v/vは、連続的な導入の代替に対する純粋な酸化剤の導入を表す)。
エッチングガスを混合させ得る他の例示的なガスとしては、cC、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI及びSO等の付加的なエッチングガスが挙げられる。エッチングガス及び付加的なガスの蒸気を、プラズマ反応チャンバへの導入前に混合してもよい。付加的なエッチングガスは、チャンバ内に導入される混合物のおよそ1%v/v〜およそ99.9%v/vを構成することができる。
Si含有層及び活性化エッチングガスは反応して、プラズマ反応チャンバから除去される揮発性副産物を形成する。アモルファスカーボンマスク、反射防止コーティング及びフォトレジスト層は活性化エッチングガスとあまり反応しない。
プラズマ反応チャンバ内部の温度及び圧力は、ケイ素含有層が活性化エッチングガスと反応するのに適切な条件で保持される。例えば、チャンバ内の圧力は、エッチングパラメータの要求に応じて、およそ0.1mTorr〜およそ1000Torr、好ましくはおよそ1mTorr〜およそ10Torr、より好ましくはおよそ10mTorr〜およそ1Torr、より好ましくはおよそ10mTorr〜およそ100mTorrに保持することができる。同様に、チャンバ内の基板温度はおよそ−196℃〜およそ500℃、好ましくは−120℃〜およそ300℃、より好ましくは−10℃〜およそ40℃の範囲をとることができる。チャンバ壁温度は、プロセス要件に応じておよそ−196℃〜およそ300℃の範囲をとることができる。
Si含有層と活性化エッチングガスとの間の反応は、基板からのSi含有層の異方性除去をもたらす。また、窒素、酸素及び/又は炭素の原子がSi含有層中に存在していてもよい。除去は、プラズマイオンによる(プラズマによって促進される)Si含有層の物理スパッタリングに起因するか、及び/又はプラズマ種の化学反応によりSiをSiF(式中、xは1〜4の範囲をとる)等の揮発性種へと変換させることによるものである。
活性化エッチングガスは好ましくは、マスクに対して高い選択性を示し、SiOとSiNとの交互層を通じてエッチングする結果、湾曲のない垂直エッチングプロファイルをもたらす。これは3D NAND用途に重要なものである。DRAM及び2D NAND等の他の用途では、例えば、プラズマ活性化エッチングガスが、SiNからSiOを選択的にエッチングすることができる。プラズマ活性化エッチングガスは好ましくは、アモルファスカーボン、フォトレジスト、ポリシリコン若しくは炭化ケイ素等のマスク層から、又はCu等の金属コンタクト層から、又はSiGeからなるチャンネル領域若しくはポリシリコン領域から、SiO及び/又はSiNを選択的にエッチングする。
本開示のエッチングガスを使用する本開示のエッチングプロセスは、Si含有層に、チャンネルホール、ゲートトレンチ、ステアケースコンタクト、コンデンサホール、コンタクトホール等を作製する。得られる開口部は、およそ10:1〜およそ100:1の範囲をとるアスペクト比、及びおよそ40nm〜およそ50nmの範囲をとる直径を有することができる。例えば、当業者であれば、チャンネルホールエッチングが、Si含有層に、60:1より大きいアスペクト比を有する開口部を作製することを認識するであろう。
1つの非限定的で例示的なプラズマエッチングプロセスでは、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンを、ガス流制御装置(controlled gas flow device)を用いた200mmのデュアルCCPプラズマエッチングツールに導入する。ガス流制御装置はマスフローコントローラとすることができる。高沸点分子の場合、Brooks
Automation(番号GF120XSD)、MKS Instruments等からの特別な低圧損型マスフローコントローラを使用することができる。プラズマ反応チャンバの圧力はおよそ30mTorrに設定する。この化合物の蒸気圧が25℃でおよそ1340Torrであるため、ガス源の加熱は必要ない。2つのCCP電極間の距離は1.35cmで維持され、上部電極RF電力は750Wに固定される。下部電極RF電力は、分子の性能を分析するために変更される。プラズマ反応チャンバは、図9に示されるものと同様の、24対のSiO及びSiN層を上に有する基板を含有する。このプロセス前に、フルオロカーボン及び酸素含有ガスによってARC層を除去し、酸素含有ガスによってAPF層を除去する。アルゴンは独立して、250sccmの流量でチャンバ内に導入される。trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンは独立して、15sccmでチャンバ内に導入される。Oは独立して、最適なエッチング条件を求めるように0sccm〜20sccmでチャンバ内に導入される。30:1以上のアスペクト比を有する開口部が作製され、これは垂直NANDにおけるチャンネルホールとして使用することができる。
別の非限定的で例示的なプラズマエッチングプロセスでは、ヘキサフルオロイソブテンを、ガス流制御装置を用いた200mmのデュアルCCPプラズマエッチングツール内に導入する。ガス流制御装置はマスフローコントローラとすることができる。高沸点分子の場合、Brooks Automation(番号GF120XSD)、MKS Instruments等からの特別な低圧損型マスフローコントローラを使用することができる。プラズマ反応チャンバの圧力はおよそ30mTorrに設定する。この化合物の蒸気圧が20℃でおよそ900Torrであるため、ガス源の加熱は必要ない。2つのCCP電極間の距離は1.35cmで維持され、上部電極RF電力は750Wに固定される。下部電極RF電力は、分子の性能を分析するために変更される。プラズマ反応チャンバは、図10に示される層と同様の、厚いSiO層を上に有する基板を含有する。このプロセス前に、フルオロカーボン及び酸素含
有ガスによってARC層を除去し、酸素含有ガスによってAPF層を除去する。アルゴンは独立して、250sccmの流量でチャンバ内に導入される。ヘキサフルオロイソブテンは独立して15sccmでチャンバ内に導入される。Oは独立して、最適なエッチング条件を求めるように0sccm〜20sccmでチャンバ内に導入される。10:1以上のアスペクト比を有する開口部が作製され、これはDRAMにおけるコンタクトホールとして使用することができる。
本発明の実施形態を更に説明するために、以下の非限定的な実施例を提供する。しかしながら、実施例は、包括的なものであると意図されるものではなく、本明細書中に記載される本発明の範囲を限定するように意図されるものでもない。
以下の試験は、SAMCO10−NR反応性イオンエッチング装置(RIE)又はLam4520XLE(商標)新型誘電体エッチングシステム(200mmの2周波容量結合プラズマ(CCP)イオンエッチング)を用いて実施した。
<実施例1>
及び環状Cを四重極型質量分析計(QMS)内に直接注入し、10eV〜100eVでデータを回収した。結果を図11及び図12に示す。Cに由来のフラグメントは、Cに由来のフラグメントよりも低いF:C比を有し、これによって、より速いポリマー堆積速度がもたらされ、選択性を改良することができる。
ポリマーは、RIEプラズマ反応チャンバ内に、1sccmのアルゴンとともに30sccmで導入することによって堆積した。チャンバ内の圧力は5Paに設定した。プラズマは300Wに設定した。cCによるポリマーは100nm/分で堆積し、0.90のF:C比を示した。Cによるポリマーは280nm/分で堆積し、0.76のF:C比を示した。Cはより速い堆積速度を示し、得られる薄膜はポリマーにおいてより小さいF:C比を示すため、架橋の増大を示すことができる。
<実施例2>
ポリマーは、環状C及び環状Cにより、実施例1と同じ条件(すなわち、30sccmのエッチングガス、1sccmのAr、5Pa及び300W)で堆積した。環状C及び環状Cは環状Cに類似するが、2個又は3個のF原子をHと置き換わっている。環状Cによるポリマーは150nm/分で堆積し、0.59のF:C比を示した。環状Cによるポリマーは200nm/分で堆積し、0.50のF:C比を示した。環状ブタン分子上の水素含有率を増大させると、ポリマー堆積速度の増大、及び得られるポリマーにおけるF:C比の減少がもたらされた。
<実施例3>
同じ化学量論組成(すなわち、C)を有する2つの分子を、四重極型質量分析計(QMS)に直接注入し、10eV〜100eVでデータを回収した。trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(CAS番号66711−86−2)に関する結果を図13に示す。ヘキサフルオロイソブテン(CAS番号382−10−5)に関する結果を図14に示す。エネルギーが高いほど、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンよりもヘキサフルオロイソブテンから生成されるCFフラグメントが増大し、かつCフラグメントが減少した。Cに由来のフラグメントは、Cのフラグメントよりも小さいF:C比を有し、これによって、より速いポリマー堆積速度がもたらされ、選択性を改良することができる。
ポリマーは、C化合物の両方により、実施例1と同じ条件(すなわち、30sccmのエッチングガス、1sccmのAr、5Pa及び300W)で堆積した。trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテンによるポリマーは250nm/分で堆積し、0.53のF:C比を示した。環状ヘキサフルオロイソブテンによるポリマーは220nm/分で堆積し、0.53のF:C比を示した。
<実施例4>
以下の表は複数のエッチングガスについての試験結果をまとめたものである。
これらの結果に基づき、最低ポリマー堆積速度から、得られるポリマー(cC及びC)における最高F:C比が示された。二重結合を有する4つの分子(すなわち、2行〜5行)間のポリマー堆積速度(nm/分単位)の大きな差異は、二重結合の包含が重合を排他的に制御しないことを示している。代わりに、堆積速度はより密接にフラグメンテーションに従うものであった。言い換えれば、フラグメントを生成する分子が高いF:C比を有するほど、ポリマー堆積速度は低減した。
<実施例5>
SiOエッチング速度に対するHの増大の効果を分析した。trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンに関する、酸素流(sccm単位)に対するSiOエッチング速度のグラフを図15に示す。cCに関する、酸素流に対するSiOエッチング速度グラフを図16に示す。1つのFをHで置き換えると、より速い酸素流量及びより狭いプロセスウィンドウが得られた。
アモルファスカーボン(a−C)、フォトレジスト(PR)及び窒化物に対する、酸化物選択性に対するHの増大の効果も分析した。trans−1,1,2,2,3,4−ヘキサフルオロシクロブタンに関する、酸素流に対する選択性のグラフを図17に示す。cCに関する、酸素流に対する選択性のグラフを図18に示す。図17及び図18中の分子流量は、図15及び図16のものと同じである(すなわち、左側の四角形のデータは5sccmのエッチングガス流量であり、左から2番目のダイアモンド型のデータは10sccmであり、右から2番目の三角形のデータは15sccmであり、右側の円形のデータは20sccmである)。図17及び図18では、中実符号(solids symbols)が酸化ケイ素/フォトレジスト選択性を表し、中空符号(hollow symbols)が酸化ケイ素/窒化ケイ素選択性を表し、影のついた符号が酸化ケイ素/アモルファスカーボン選択性を表している。
<実施例6>
以下の表は複数のエッチングガスについての試験結果をまとめたものである。
同様のSiOエッチング速度条件(ER 40nm/分〜50nm/分)で分子を比較した。エッチングガス及び酸素流量は、エッチング速度範囲内において最良の選択性について選択した。他のプラズマ条件は固定した(すなわち、Ar=150sccm、300W、5Pa)。PR、a−C及びNの列は、SiOと、フォトレジスト(PR)、アモルファスカーボン(a−C)及び窒化ケイ素(N)との間の選択性を示している。これらの結果、とりわけcC、23102−94−4(trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン)及び2253−02−3(1,1,2,2,3−ペンタフルオロシクロブタン)についての結果に基づき、Hが増大すると、マスク選択性も増大した。加えて、66711−86−2(trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン)及び382−10−5(ヘキサフルオロイソブテン)は同じ化学量論組成(すなわちC)を有するにもかかわらず、異なる構造によって著しく異なる結果が得られた。
<実施例7>
DRAMパターンスタックの一部をエッチングする場合のH含有率の増大の効果を分析した。DRAMパターン化スタックの一部は、反射防止コーティング層(ARC29a−0.8kÅ)上、酸窒化ケイ素層(1.0kÅ)上、アモルファスカーボン層(3.5kÅ)上、4ミクロンSiO基板(Silox)上に、P6100パターン(2.9kÅ)からなるものとした。アルゴンは150sccmで導入した。チャンバは5Paで維持した。SAMCO RIEは300Wに設定した。15sccmのcCを使用するとともに酸素を使用しなかった10分のエッチングの結果の走査型電子顕微鏡写真を図19に提示する。15sccmのcC及び12sccmの酸素を使用した10分のエッチングの結果の走査型電子顕微鏡写真を図20に提示する。15sccmのcC及び22sccmの酸素を使用した10分のエッチングの結果の走査型電子顕微鏡写真を図21に提示する。図面に見られるように、Hを増大させると、テーパープロファイルが促され、エッチング速度の損失(590nm→380nm→270nm)がもたらされる。H含有率を増大させると、狭いトレンチが維持される。図21中の110nmのトレンチがエッチング前に存在したのに対し、トレンチは、cCにより270nmに、及びcCにより260nmに広がった。
<実施例8>
図22は、H置換、二重結合、及びC分子へのOの付加の効果を示すフローチャートである。Cを図22の左上の角に示す。SiOとマスクとの間の選択性の上昇、及びポリマー堆積速度の増大は、2個又は3個のF原子を水素原子と置き換える(上段に沿って左から右へ移行する)場合に見られる。しかしながら、H分子が増大すると、Oの希釈化も高める必要がある。ポリマー堆積速度が上がっても、2個のF原子を二重結合で置き換える(すなわち、分子を飽和状態から不飽和状態に変化させる)(一段目の中央から二段目の右側へと移行する)場合には、同様の選択性及びO希釈化要件が見られる。酸素の付加によって、選択性は芳しくなく、ポリマーも堆積しなくなる(頁の左側の列を下方へと移行する)。選択性及びポリマー堆積速度の上昇は、狭いプロセスウィンドウではあるが、酸素含有分子上でフッ素原子を水素原子で置き換える場合(頁の左側最下段)に見られる。
<実施例9>
堆積速度及びエッチング速度を、環状C(オクタフルオロシクロブタン)、C(ヘキサフルオロ−1,3−ブタジエン)、及び線形C(CAS66711−86−2)について測定した。
Lamエッチングシステムの電源すなわちRF電力は750Wに設定し、バイアス電源を1500Wに設定した。圧力は30mTorrに設定した。プレート間の距離は1.35cmに設定した。酸素は15sccmの流量で導入した。アルゴンは250sccmの流量で導入した。各エッチングガスは15sccmで導入した。結果を以下の表に示す。
66711−86−2(trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン)は、同様の酸化ケイ素エッチング速度とともに、従来のcCよりも、酸化ケイ素とアモルファスカーボンとの間のより良好な選択性を有する。66711−86−2はまたcCよりも速い堆積速度を有する。
<実施例10>
1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンを使用した、SiO、SiN、p−Si(ポリシリコン)及びa−C(アモルファスカーボン)のエッチング速度を測定した。
Lamエッチングシステムの電源すなわちRF電力は750Wに設定し、バイアス電源は1500Wに設定した。圧力は30mTorrに設定した。プレート間の距離は1.35cmに設定した。酸素は15sccmの流量で導入した。アルゴンは250sccmの流量で導入した。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンは15sccmの流量で導入した。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンは550nm/minの速度でSiO層をエッチングした。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンは150nm/minの速度でSiN層をエッチングした。
1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンは50nm/minの速度でp−Si層をエッチングした。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンは75nm/minの速度でa−c層をエッチングした。1,1,1,2,4,4,4−ヘプタフルオロ−2−ブテンはSiOとp−Siとa−cとの間で良好な選択性を示す。
本発明の実施形態を示し、記載しているが、それらの修正は、本発明の精神又は教示から逸脱することなく当業者が行うことができる。本明細書中に記載される実施形態は例示的なものにすぎず、限定的なものではない。組成物及び方法の多くの変形及び修正が可能であり、本発明の範囲内である。それ故、保護範囲は本明細書中に記載される実施形態に限定されず、添付の特許請求の範囲によってのみ限定されるものであり、その範囲は、特許請求の範囲の主題のあらゆる均等物を含むものとする。

Claims (9)

  1. フルオロカーボン分子をプラズマ活性化してエッチング耐性ポリマー層を形成することを含み、
    前記フルオロカーボン分子が、ヘキサフルオロイソブテン及び1,1,2,2−テトラフルオロシクロブタンよりなる群から選択される、エッチング耐性ポリマー層を堆積させる方法。
  2. 前記エッチング耐性ポリマー層が、パターンエッチング構造の側壁上に保護層を形成する、請求項1に記載の方法。
  3. 前記パターンエッチング構造が、2.5:1〜100:1の範囲にあるアスペクト比を有する、請求項に記載の方法。
  4. 前記エッチング耐性ポリマー層は、イオン及びラジカルが前記側壁をエッチングすることを妨げる、請求項に記載の方法。
  5. 前記エッチング耐性ポリマー層が、まっすぐで湾曲していない垂直プロファイルを有するパターンエッチング構造をもたらす、請求項に記載の方法。
  6. フルオロカーボン分子をプラズマ活性化してパターンエッチング構造の側壁上にエッチング耐性ポリマー層を形成することを含み、
    前記フルオロカーボン分子が、ヘキサフルオロイソブテン及び1,1,2,2−テトラフルオロシクロブタンよりなる群から選択される、パターンエッチング構造の製造方法。
  7. 前記パターンエッチング構造が、2.5:1〜100:1の範囲にあるアスペクト比を有する、請求項6に記載のパターンエッチング構造の製造方法。
  8. 前記エッチング耐性ポリマー層は、イオン及びラジカルが前記側壁をエッチングするこ
    とを妨げる、請求項6に記載のパターンエッチング構造の製造方法。
  9. 前記パターンエッチング構造が、まっすぐで湾曲していない垂直プロファイルを有する、請求項6に記載のパターンエッチング構造の製造方法。
JP2017233163A 2012-10-30 2017-12-05 エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法 Active JP6527214B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261720139P 2012-10-30 2012-10-30
US61/720,139 2012-10-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015539935A Division JP6257638B2 (ja) 2012-10-30 2013-10-30 高アスペクト比酸化物エッチング用のフルオロカーボン分子

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019088964A Division JP6811284B2 (ja) 2012-10-30 2019-05-09 3d nandフラッシュメモリの製造方法

Publications (2)

Publication Number Publication Date
JP2018050074A JP2018050074A (ja) 2018-03-29
JP6527214B2 true JP6527214B2 (ja) 2019-06-05

Family

ID=50628017

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015539935A Active JP6257638B2 (ja) 2012-10-30 2013-10-30 高アスペクト比酸化物エッチング用のフルオロカーボン分子
JP2017233163A Active JP6527214B2 (ja) 2012-10-30 2017-12-05 エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
JP2019088964A Active JP6811284B2 (ja) 2012-10-30 2019-05-09 3d nandフラッシュメモリの製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015539935A Active JP6257638B2 (ja) 2012-10-30 2013-10-30 高アスペクト比酸化物エッチング用のフルオロカーボン分子

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019088964A Active JP6811284B2 (ja) 2012-10-30 2019-05-09 3d nandフラッシュメモリの製造方法

Country Status (7)

Country Link
US (3) US9514959B2 (ja)
JP (3) JP6257638B2 (ja)
KR (3) KR102048959B1 (ja)
CN (2) CN104885203B (ja)
SG (3) SG11201503321XA (ja)
TW (2) TWI588240B (ja)
WO (1) WO2014070838A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230061542A (ko) 2020-10-15 2023-05-08 가부시끼가이샤 레조낙 에칭 가스, 에칭 방법, 및 반도체 소자의 제조 방법
KR20230061541A (ko) 2020-10-15 2023-05-08 가부시끼가이샤 레조낙 에칭 가스, 에칭 방법, 및 반도체 소자의 제조 방법

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP2015170763A (ja) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2015156272A1 (ja) * 2014-04-08 2015-10-15 二プロ株式会社 医療用弁
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
SG11201705639VA (en) 2015-01-22 2017-08-30 Zeon Corp Plasma etching method
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9728421B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
KR102204116B1 (ko) * 2016-09-14 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 높은 종횡비 구조의 스트립 프로세스
CN109997212B (zh) * 2016-11-29 2023-06-13 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP7036799B2 (ja) * 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
JP7030648B2 (ja) 2018-08-09 2022-03-07 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP7173799B2 (ja) * 2018-09-11 2022-11-16 キオクシア株式会社 半導体装置の製造方法およびエッチングガス
JP6666601B2 (ja) * 2018-11-22 2020-03-18 東京エレクトロン株式会社 多孔質膜をエッチングする方法
JP6874778B2 (ja) * 2019-01-09 2021-05-19 ダイキン工業株式会社 シクロブタンの製造方法
EP3950653A4 (en) * 2019-03-27 2023-01-11 Daikin Industries, Ltd. PROCESS FOR PREPARING A HALOGENATED CYCLOALKAN COMPOUND
EP3987553B8 (en) * 2019-06-21 2023-12-06 Hitachi Energy Ltd Dielectric-insulation or arc-extinction fluid
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113035706A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀方法和刻蚀装置
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
CN116325088A (zh) * 2020-10-15 2023-06-23 株式会社力森诺科 蚀刻气体及其制造方法、以及蚀刻方法、半导体元件的制造方法
JPWO2022080273A1 (ja) * 2020-10-15 2022-04-21
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JP2570726B2 (ja) * 1987-03-05 1997-01-16 ミノルタ株式会社 摩擦帯電部材
JP3253215B2 (ja) 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JPH06329826A (ja) * 1993-05-17 1994-11-29 Daikin Ind Ltd フルオロシクロブタン化合物からなる発泡剤
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
WO1998019331A1 (fr) * 1996-10-30 1998-05-07 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Composition de gaz pour gravure a sec et procede de gravure a sec
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6228775B1 (en) * 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6412984B2 (en) * 1998-05-14 2002-07-02 Nsk Ltd. Dynamic pressure bearing apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4432230B2 (ja) * 2000-07-27 2010-03-17 日本ゼオン株式会社 フッ素化炭化水素の精製方法、溶剤、潤滑性重合体含有液および潤滑性重合体膜を有する物品
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6972265B1 (en) 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US20050014383A1 (en) 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
EP2258789A3 (en) 2004-12-21 2012-10-24 Honeywell International Inc. Stabilized iodocarbon compositions
US9175201B2 (en) 2004-12-21 2015-11-03 Honeywell International Inc. Stabilized iodocarbon compositions
JP4691702B2 (ja) * 2005-02-16 2011-06-01 独立行政法人産業技術総合研究所 トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタンの製造方法
US20060243944A1 (en) 2005-03-04 2006-11-02 Minor Barbara H Compositions comprising a fluoroolefin
JP5131436B2 (ja) * 2007-05-31 2013-01-30 日本ゼオン株式会社 エッチング方法
CA2684290C (en) 2007-06-12 2016-09-06 E. I. Du Pont De Nemours And Company Azeotropic and azeotrope-like compositions of e-1,1,1,4,4,4-hexafluoro-2-butene
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
TWI438841B (zh) * 2007-09-28 2014-05-21 Zeon Corp 電漿蝕刻方法
JP2009093869A (ja) * 2007-10-05 2009-04-30 Sunarrow Ltd キーシートおよびその製造方法
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5341978B2 (ja) 2008-03-19 2013-11-13 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 1,1,1,4,4,4−ヘキサフルオロ−2−ブテンを作製する方法
JP5266902B2 (ja) * 2008-06-20 2013-08-21 日本ゼオン株式会社 含フッ素オレフィン化合物の製造方法
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
WO2010100254A1 (en) 2009-03-06 2010-09-10 Solvay Fluor Gmbh Use of unsaturated hydrofluorocarbons
JP2011060958A (ja) * 2009-09-09 2011-03-24 Toshiba Corp 半導体装置及びその製造方法
KR101790365B1 (ko) 2009-11-20 2017-10-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
CN102598266B (zh) * 2009-11-20 2015-04-22 株式会社半导体能源研究所 半导体装置
US20110144216A1 (en) * 2009-12-16 2011-06-16 Honeywell International Inc. Compositions and uses of cis-1,1,1,4,4,4-hexafluoro-2-butene
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
TWI523900B (zh) 2010-07-20 2016-03-01 首威索勒希斯股份有限公司 氟彈性體組合物
RU2010147004A (ru) 2010-11-17 2012-05-27 Е.И.Дюпон де Немур энд Компани (US) Каталитический синтез внутренних фторбутенов и внутренних фторпентенов
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
JP5682381B2 (ja) 2011-03-09 2015-03-11 日本ゼオン株式会社 含ハロゲノフッ素化シクロアルカン、及び含水素フッ素化シクロアルカンの製造方法
US20130098396A1 (en) 2011-10-19 2013-04-25 E I Du Pont De Nemours And Company Novel 1,1,1,4,4,5,5,6,6,6-decafluorohex-2-ene isomer mixtures and uses thereof
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
PE20142140A1 (es) 2012-02-17 2015-01-04 Du Pont Composiciones similares a azeotropos de z-1,1,1,4,4,4-hexafluoro-2-buteno y e-1,1,1,4,4,4-hexafluoro-2-buteno y usos de estos
CN114752386A (zh) 2013-03-28 2022-07-15 得凯莫斯公司弗罗里达有限公司 氢氟烯烃蚀刻气体混合物
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230061542A (ko) 2020-10-15 2023-05-08 가부시끼가이샤 레조낙 에칭 가스, 에칭 방법, 및 반도체 소자의 제조 방법
KR20230061541A (ko) 2020-10-15 2023-05-08 가부시끼가이샤 레조낙 에칭 가스, 에칭 방법, 및 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
TW201730142A (zh) 2017-09-01
US20150294880A1 (en) 2015-10-15
SG11201503321XA (en) 2015-05-28
KR101564182B1 (ko) 2015-10-28
JP2018050074A (ja) 2018-03-29
TW201422780A (zh) 2014-06-16
KR102153246B1 (ko) 2020-09-07
JP2019195062A (ja) 2019-11-07
US20190326129A1 (en) 2019-10-24
TWI623510B (zh) 2018-05-11
SG10201703513WA (en) 2017-06-29
SG10202113236SA (en) 2021-12-30
US20170032976A1 (en) 2017-02-02
JP6257638B2 (ja) 2018-01-10
WO2014070838A1 (en) 2014-05-08
CN104885203A (zh) 2015-09-02
CN107275206B (zh) 2021-03-26
US10381240B2 (en) 2019-08-13
US11152223B2 (en) 2021-10-19
KR20140090241A (ko) 2014-07-16
CN104885203B (zh) 2017-08-01
TWI588240B (zh) 2017-06-21
KR20190132564A (ko) 2019-11-27
US9514959B2 (en) 2016-12-06
CN107275206A (zh) 2017-10-20
KR20150122266A (ko) 2015-10-30
KR102048959B1 (ko) 2019-11-27
JP6811284B2 (ja) 2021-01-13
JP2015533029A (ja) 2015-11-16

Similar Documents

Publication Publication Date Title
JP6527214B2 (ja) エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
CN101911263B (zh) 蚀刻高纵横比接触的方法
EP3345211A1 (en) Nitrogen-containing compounds for etching semiconductor structures
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物
TW202331840A (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190410

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190509

R150 Certificate of patent or registration of utility model

Ref document number: 6527214

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250