CN101911263A - 蚀刻高纵横比接触的方法 - Google Patents

蚀刻高纵横比接触的方法 Download PDF

Info

Publication number
CN101911263A
CN101911263A CN200880123894.6A CN200880123894A CN101911263A CN 101911263 A CN101911263 A CN 101911263A CN 200880123894 A CN200880123894 A CN 200880123894A CN 101911263 A CN101911263 A CN 101911263A
Authority
CN
China
Prior art keywords
gas
etching
etching gas
plasma etching
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880123894.6A
Other languages
English (en)
Other versions
CN101911263B (zh
Inventor
拉塞尔·A·本森
特德·泰勒
马克·基尔鲍赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101911263A publication Critical patent/CN101911263A/zh
Application granted granted Critical
Publication of CN101911263B publication Critical patent/CN101911263B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供用于在电介质层中蚀刻接触开口的方法和蚀刻气体组合物。所述方法的实施例使用从蚀刻气体产生的等离子体,所述蚀刻气体由C4F8和/或C4F6、氧源和载气结合四氟乙烷(C2F4)或C2F4的卤代氟碳化合物类似物构成。

Description

蚀刻高纵横比接触的方法
技术领域
本发明的实施例涉及气体化学物以及在氧化物层中等离子体蚀刻高纵横比接触(HARC)的方法。
背景技术
在半导体装置中,例如二氧化硅(SiO2)的绝缘层、例如BPSG的经掺杂氧化物,和氮化硅用于电分离导电层,例如经掺杂多晶硅、金属、耐火金属硅化物等。高纵横比接触(HARC)蚀刻是用于在高密度集成装置中形成穿过绝缘层到活性装置区域或导电层的接触孔互连件的关键工艺。HARC需要产生垂直轮廓和经界定的临界尺寸(CD)的蚀刻工艺。HARC特征在电介质中的另一应是在堆叠电容器DRAM中形成电容器或容器结构。
在典型等离子体蚀刻中,将衬底定位于气体腔室中的夹盘上,将蚀刻剂气体引入到所述腔室中,且减小腔室压力。能量源/电源产生穿过定位于腔室内的电极的带电的电或电磁场,以将蚀刻剂气体激励为等离子体状态。所述蚀刻剂气体转变为不带电中子以及电子与正离子的经解离混合物。通常,正离子通过提供用于形成垂直接触孔轮廓的方向性的射频(RF)偏置电极外鞘而加速到衬底,且支撑衬底的夹盘充当底部电极且可由第二RF电源偏置。离子与衬底反应,借此从半导体装置移除暴露材料。
一般来说,用于蚀刻穿过二氧化硅(SiO2)到下伏硅和/或氮化硅的标准工艺使用氟碳化合物气体等离子体。氟碳化合物分子通过等离子体作用的解离产生对SiO2衬底起作用的活性自由基和/或离子。举例来说,在一些高密度等离子体中,从CF3和其它CxFy自由基(其中x高达11且y高达15)产生的CF+、CF2 +和CF3 +离子为用于SiO2的主要蚀刻离子,其中Ar+离子在氧化物上溅镀CFX膜,且较少氟化自由基(例如,CF2和CF)在蚀刻工艺期间吸附于SiO2的接触孔中的侧壁和底部表面上,并聚合以形成抑制离子的蚀刻的非挥发性含氟聚合物层。对在SiO2接触等离子体蚀刻期间的吸附自由基与蚀刻离子之间的平衡的精确控制是重要的,以同时钝化开口的侧壁且在开口的底部延伸蚀刻前端。然而,当使用常规的氟碳化合物化学物时,此控制是困难的。
包括开口的弯曲和/或扭转的问题常在HARC蚀刻期间出现。图1到图2说明整体标示为10的衬底片段(例如,晶片),其展示通过现有技术蚀刻方法在电介质层14中形成到下伏衬底层16的接触孔12。图1中所说明的弯曲大体上由自由氟的反应形成,所述自由氟在蚀刻期间累积于接触开口12的侧壁18上(箭头↓↓↓)且横向侵蚀并蚀刻暴露的侧壁,从而产生特征性弯曲20。在典型HARC蚀刻期间,沿窄且深的开口的侧壁18积聚的电荷可使传入离子偏转,从而导致那些离子的轨迹改变。图2中所说明的扭转可在结合特征充电的蚀刻期间由沿侧壁18的不对称聚合物沉积物22引起,所述特征充电可导致传入的蚀刻离子的偏转和所述离子的改变轨迹(箭头),从而导致接触孔12从完全垂直轮廓扭转或弯曲,其中所述孔朝向一侧或另一侧。接触孔的扭转可导致未对准和在随后沉积的导电金属与(例如)在下伏衬底16中的活性区域沉陷(landing)区24之间的不完全接触。例如弯曲和扭转的偏差还可导致非垂直接触孔以及所述接触与邻近接触或其它结构的短接。
提供一种用于在氧化硅层中蚀刻高纵横比开口的方法和蚀刻剂气体是有用的,其克服这些或其它问题。
附图说明
在下文参看以下附图来描述本发明的实施例,其仅出于说明的目的。在所有以下视图中,在所述图式中将使用参考数字,且在所有若干视图中且在描述中将使用相同的参考数字来指示相同或相似部分。
图1说明在蚀刻期间导致接触孔的弯曲的现有技术处理。
图2说明在蚀刻期间导致接触孔的扭转的现有技术处理。
图3说明根据本发明的一实施例的处于初步处理阶段的衬底的一部分的正视横截面图。
图4为处于后续处理阶段的图3中所描绘的衬底的横截面图。
具体实施方式
参看图式的以下描述提供根据本发明的实施例的装置和方法的说明性实例。此描述仅出于说明的目的且并非出于限制其的目的。
在当前申请案的上下文中,术语“半导体衬底”或“半导电衬底”或“半导电晶片片段”或“晶片片段”或“晶片”将理解为意指包含半导体材料的任何构造,包括(但不限于)例如半导体晶片等主体半导电材料(单独地或在上面包含其它材料的组合件中)和半导电材料层(单独地或在包含其它材料的组合件中)。术语“衬底”指代包括(但不限于)上文所描述的半导电衬底、晶片片段或晶片的任何支撑结构。
如本文所使用,术语“高纵横比”指约15∶1或更高的深度与宽度(或直径)比。
本发明的实施例涉及对在半导电微电子装置处理中使用的经掺杂和未经掺杂电介质材料进行等离子体蚀刻以产生开口来制造高纵横比接触或容器(HARC)的方法。本发明提供用于高纵横比接触孔的蚀刻的处理参数,其减少蚀刻期间的接触开口的弯曲和扭转,维持用于接触孔的整个深度轮廓的临界尺寸(CD),且产生具有大体上为圆柱形且具有最小锥度的垂直轮廓的接触孔。举例来说,具有约2μm的深度、60nm的顶部CD和约45到60nm的底部CD的接触将视为具有所需CD轮廓控制。
参看图3到图4说明根据本发明的方法的一实施例。图3说明整体参考数字10′所指示的处于初步处理阶段的衬底片段。衬底片段10′包含形成于衬底层16′上的绝缘或电介质层14′,和活性区域或元件24′(例如,扩散区域、接触、导电线等)。进行中的衬底片段10′可包含半导体晶片衬底或晶片连同形成于其上的各种处理层,包括一个或一个以上半导体层或其它形成物,和半导体装置的活性或可操作部分。
电介质层14′形成到待蚀刻的选定厚度以界定高纵横比接触孔,例如,约2到3μm的厚度。电介质层14′可由未经掺杂的二氧化硅(SiO2)或经掺杂的SiO2以单一层或多层形成,所述经掺杂的SiO2例如为从正硅酸乙酯(TEOS)沉积的氧化硅、硼磷硅玻璃(BPSG)、硼硅玻璃(BSG)和磷硅玻璃(PSG),或例如氮化物(例如,例如Si3N4的氮化硅(SixNy))或氮氧化硅(SiOxNy)的其它材料。下伏衬底层16′在组成上不类似于电介质层14′,且可由氮化物蚀刻终止层(例如,Si3N4)、例如氮化钛、氮化钨等耐火金属氮化物、具有与二氧化硅电介质层14′不同的经掺杂二氧化硅层、例如单晶硅或多晶硅的硅层、经掺杂硅区、例如硅化钛的金属硅化物、金属互连件,或其它材料层构成。在所说明的实例中,衬底16′为SiO2,其具有接触区域24′(例如,多晶硅)。
抗蚀刻掩模层28′(例如,光致抗蚀剂或硬掩模材料)形成于电介质层14′上,且如所描绘经图案化和蚀刻以界定暴露电介质层的若干部分的开口30′。
参看图4,电介质层14′经蚀刻以形成高纵横比接触开口12′(即,接触孔和通路,或例如沟槽的其它开口),其延伸到下伏衬底层16′以用于在例如SRAM、DRAM等各种装置或电路的制造中形成(例如)用于互连层、栅极电极、电容器电极、通路等的接触孔。通常,将接触开口12′蚀刻为约1∶15到约1∶40或约1∶20到约1∶30的高纵横比,且临界尺寸(CD)(宽度)小于约100nm或约25到70nm,且深度(d)(例如)为约1到3μm。
在本发明的实施例中,使用HARC气体等离子体(箭头↓↓↓)蚀刻电介质层14′以形成接触孔12′,所述HARC气体等离子体是根据本发明利用C4F8(八氟环丁烷)、C4F6(六氟丁二烯)或其混合物、氧源,和惰性气体结合C2F4(四氟乙烷)而产生。C4F8、C4F6和C2F4气体产生作为用于聚合物沉积的气体前驱物的CF2自由基和用以蚀刻氧化硅层的CF3+离子。在一些实施例中,蚀刻剂气体本质上由C4F8和/或C4F6、氧源、惰性气体和C2F4组成或由其组成。
氧源可为(例如)氧(O2)、一氧化碳(CO)或其混合物,其量并不减弱蚀刻剂气体的性能。氧源将与等离子体内的含碳和氟的离子(CFx)反应以调整附着到侧壁18′的碳和氟的量,且抑制可由接触12′孔的底部表面26′上的碳沉积物引起的“蚀刻终止”。
任选地,蚀刻剂气体包括此项技术中已知的惰性载气,例如氩(Ar)、氙(Xe)、氖(Ne)、氪(Kr)和氦(He)。载气存在于蚀刻剂中以稀释蚀刻剂气体,使得过量蚀刻或沉积不会发生,以稳定正产生的等离子体且增强蚀刻工艺的均匀性。在一些实施例中,氙(Xe)、氖(Ne)和/或氪(Kr)用作取代氩(Ar)或与氩(Ar)组合的载气。
在本发明的实施例中,氟碳化合物馈送气体由C4F8/O2/Ar/C2F4、C4F6/O2/Ar/C2F4或C4F8/C4F6/O2/Ar/C2F4构成。在其它实施例中。O2与CO组合或由CO取代,和/或Ar由Xe、Ne、Kr和/或He取代或与其组合。在各种实施例中,馈送气体可包含上述气体、本质上由上述气体组成,或由上述气体组成。
蚀刻气体可任选地包括一种或一种以上额外氟碳化合物气体,其具有通式CxFy,其中x=1到6且y=2到8,例如CF4(四氟化碳)、C2F6(六氟乙烷)、C3F6(六氟丙烯)、C3F8(八氟丙烷)、C5F8(八氟环戊烯)和C6F6(全氟苯)以及其组合。
已发现,在利用C4F8或C4F6的蚀刻化学物与氧源和惰性气体的HARC蚀刻期间添加C2F4提供较薄且保形的聚合物层22′的沉积,以在蚀刻期间钝化接触开口12′的侧壁18′,以尤其在移除衬底16′上的剩余的残余氧化物的过蚀刻期间防止横向蚀刻并最小化接触孔的扭转和弯曲,而不必补偿所添加的聚合气体(即,C2F4)。
由向C4F8和/或C4F6蚀刻气体添加C2F4引起的基于CFx的聚合物沉积提供保形且对称的经改进钝化层,且蚀刻位于侧壁上作为接触孔的沉积物。保形聚合物层防止离子驱动的横向蚀刻或侧壁局部区域中的“弯曲”,以维持接触孔的整个深度轮廓的临界尺寸(CD)并减小容器变形。所述保形聚合物层在接触孔的侧壁上的沉积还可用作弱导电路径以耗散接触孔底部处的电荷并减少扭转。而且,对称聚合物沉积减小形成横向电场的概率,此减少扭转。内部特征等离子体沉积聚合物材料的不规则性已知转移到待蚀刻的衬底中。由当前的基于C4F8/C4F6/C2F4的HARC化学物产生的极规则且保形的聚合物材料层减少接触孔中的变形和缺陷,而同时的离子轰击抑制接触孔的底部处蚀刻前端上的聚合物的吸收或沉积,因此促进蚀刻而不影响锥度。
研究者已描述使用三氟碘甲烷(CF3I)与四氟乙烷(C2F4)的气体混合物将SiO2图案化。然而,CF3I并非优选的蚀刻化学物,因为其为高腐蚀性气体且在实现所要的接触孔轮廓的过程中并非同样有效。当前的蚀刻化学物克服那些缺点并实现动态均衡,使得以约相同速率沉积和移除聚合物,其防止蚀刻终止并提供连续钝化,借此不暴露侧壁,此防止弯曲效应。还可控制蚀刻气体以避免可引起接触孔扭转的过量聚合物残余物的沉积(例如,如图2中),且限制等离子体沉积的聚合物残余物在开口12′的底部表面24′上的累积。
在本发明的实施例中,蚀刻气体等离子体可为用于以比氮化物和/或硅衬底层16′显著高的速率高纵横比地蚀刻氧化硅的气体混合物,即,蚀刻气体等离子体对氮化物和硅为高选择性的。此蚀刻气体等离子体允许向下蚀刻氧化物层14′到达衬底层16′,而大体上不损坏氮化物或硅层。
在其它实施例中,蚀刻气体可包括碳氢化合物(例如CH4(甲烷))和/或一种或一种以上氢氟碳化合物馈送气体,其具有通式CxHyFz,其中x=1到6、y=1到6且z=1到6,尤其例如CHF3(三氟甲烷)、CH2F2(二氟甲烷)、CH2F4(四氟乙烷)、CH3F(甲基氟)、C2HF5(五氟乙烷)、C2H2F4(四氟乙烷)、C2H5F(乙基氟)、C3HF5(五氟丙烯)、C3HF7(七氟丙烷)、C3H2F6(六氟丙烷)、C3H3F3(三氟丙烯)、C3H3F5(五氟丙烷)、C3H4F4(四氟丙烷)、C4HF7(七氟丁烯)、C4HF9(九氟丁烷)、C4H2F6(六氟丁烯)、C4H2F8(八氟丁烷)、C5HF9(九氟戊烯)、C5HF11(十一氟戊烷)或任何氢氟苯(例如,C6H3F3)。并入有氢氟碳化合物气体的蚀刻气体的实施例尤其包括(例如)C4F8/CHF3/O2/Ar。包括碳氢化合物(例如,CH4)和/或氢氟碳化合物馈送气体有用于蚀刻除SiO2以外的电介质,包括(例如)氮化硅(例如SixNy,例如为Si3N4)。
使用C2F4的益处在于,所沉积的聚合物为基于CF的聚合物,其与使用例如SiH4、SiF4或SiCl4的蚀刻气体的工艺所形成的含Si聚合物相比更容易剥落/清洁。从C2F4产生的基于CF的聚合物极具保形,其允许添加C2F4气体而无需更改C4F8/C4F6蚀刻气体中O2的量以补偿所添加的聚合气体(C2F4)。另外,C2F4的使用不会产生可“堵塞”接触开口的过沉积物(即,面包状囤积)。
尽管以C2F4气体形成的蚀刻等离子体气体的实施例在蚀刻期间提供所要的保形聚合物沉积,但C2F4气体在经净化时是高度可燃且不稳定的,且如果暴露于微量的O2则可在气体管线中和在储存期间自发聚合。可使C2F4稳定,但例如d-柠檬烯(C10H16)、二氧化碳(CO2)或氢氯酸(HCl)等稳定剂可不利地影响蚀刻工艺。另外,使用C2F4的费用可过高。
在本发明的其它实施例中,使用由C4F8和/或C4F6、氧源(例如,O2和/或CO)、惰性气体和卤代氟碳化合物构成的蚀刻气体在电介质层中蚀刻接触开口,所述卤代氟碳化合物将在氧化物的干式蚀刻期间产生C2F4以提供与馈送到C4F6-C4F8蚀刻等离子体中的纯C2F4相同或类似的聚合物沉积。
蚀刻气体中所利用的卤代氟碳化合物为1,2-二溴四氟乙烷(BrCF2CF2Br或C2F4Br2)、1,2-二碘四氟乙烷(ICF2CF2I或C2F4I2)和二碘二氟甲烷(CF2I2)中的至少一者。上述卤代氟碳化合物是将在等离子体中解离为CF2自由基的稳定液体源。C2F4Br2和C2F4I2将在暴露于UV光(例如,266到193nm)下解离以形成在等离子体中形成两个单位的CF2自由基的C2F4
在C4F6和/或C4F8蚀刻气体等离子体中使用C2F4或上述卤代氟碳化合物使得能够对等离子体气体中的CF2自由基产生进行更精确的控制,从而导致在蚀刻期间在接触开口的侧壁上形成规则且保形的聚合物层,以最小化弯曲和扭转并改进对接触孔的轮廓控制。上述卤代氟碳化合物充当C2F4的类似物并在干式蚀刻期间提供工艺影响和聚合物沉积,其类似于馈送到C4F6和/或C4F8蚀刻等离子体中的纯C2F4,但具有更大稳定性且不具有由可燃且可在暴露于氧后便爆炸性聚合的纯C2F4带来的问题。
可利用任何已知的合适蚀刻装置(例如,可用的蚀刻器)来产生等离子体,所述蚀刻器例如为来自应用材料公司(Applied Materials,Inc.)的Applied Centura
Figure BPA00001177016800061
蚀刻系统、来自莱姆研究公司(Lam Research Corporation)的2300Exelan系统、来自东京电子有限公司(Tokyo Electron Limited)的TEL Unity SCCM电介质蚀刻腔室,或任何其它高密度等离子体蚀刻器。用于等离子体蚀刻的装备和技术的实例描述于多诺赫(Donohoe)等人的共同转让的第6,123,862号美国专利中。所属领域的技术人员应容易明白,视用于产生等离子体的特定蚀刻设备而定,例如气体混合物、温度、RF功率、压力和气流速率等各种蚀刻参数可变化以实现等离子体系统的所要蚀刻速率和蚀刻特性。
将具有待蚀刻的电介质层14′的衬底10′(例如,晶片)置放于用于进行蚀刻工艺的适当设备的等离子体反应腔室中,且蚀刻剂气体大体上流入等离子体反应腔室中,且施加功率以从蚀刻剂气体诱发等离子体。一般来说,等离子体形成于晶片的表面上且将偏置功率供应到含有所述晶片的衬底或供应到支撑所述衬底的支撑件或夹盘,以从反应物气体朝向所述表面使离子加速。从蚀刻剂气体形成的物质(例如,氟离子)撞击在电介质层14′的经由图案化掩模18′而暴露的区域上并与其反应以蚀刻掉并推进蚀刻前端。可经由出口从反应腔室排出可能为挥发性的副产物。
在同时平衡蚀刻工艺的条件下进行蚀刻工艺,即,等离子体产生反应性中性和离子物质,其在离子(CF3+)拥有由晶片处的偏置功率供应的充足能量的情况下蚀刻电介质,且在接触开口或孔12′的侧壁18′上沉积聚合物材料(经由CF2自由基)。底部表面26′在过蚀刻期间受离子轰击,以便移除衬底16′上的任何剩余的残余氧化物。
控制C4F8、C4F6和C2F4的气流以优化CF2自由基和CF3 +离子密度。在C4F8/C2F4或C4F6/C2F4蚀刻气体的本发明的实施例中,进入等离子体腔室中的气流速率为:C4F8或C4F6为约10到100sccm(或约50到70sccm),C2F4为约50到150sccm(或约80到100sccm),O2为约10到60sccm(或约20到40sccm),以及惰性气体为约500到1500sccm(或约900到1300sccm)。一般来说,C4F8∶C2F4的比率为约0.25到1.5∶1(或约0.5到1∶1),且C4F6∶C2F4的比率为约0.25到1.5∶1(或约0.5到1∶1)。
在C4F8/C4F6/C2F4蚀刻气体的实施例中,气流速率通常为:C4F8为约0到100sccm(或约20到70sccm),C4F6为约0到100sccm(或约20到70sccm),C2F4为约50到150sccm(或约80到100sccm),O2为约10到60sccm(或约20到40sccm),以及惰性气体为约500到1500sccm(或约900到1300sccm),C4F6与C4F8的组合总流动速率为约30到100sccm(或约50到70sccm)的范围。一般来说,C4F8与C4F6(组合)∶C2F4的比率为约0.25到1.5∶1(或约0.5到1∶1)。
在利用卤代氟碳化合物来取代C2F4的本发明的实施例中,进入等离子体腔室中的蚀刻气流速率为:C4F8或C4F6为约10到100sccm(或约50到70sccm),C2F4Br2或C2F4I2为约50到150sccm(或约80到100sccm),CF2I2为约100到300sccm(或约160到180sccm),O2为约10到60sccm(或约20到40sccm),以及惰性气体为约500到1500sccm(或约900到1300sccm)。C4F8或C4F6∶C2F4Br2或C2F4I2的比率为约0.25到1.5∶1(或约0.5到1∶1),且C4F8或C4F6∶CF2I2的比率为约0.25到1.5∶1(或约0.5到1∶1)。
在其它实施例中,进入等离子体腔室中的蚀刻气流速率为:C4F8为约0到100sccm(或约20到70sccm)且C4F6为约0到100sccm(或约20到70sccm),C4F6与C4F8的组合总流为约30到100sccm(或约50到70sccm),C2F4Br2或C2F4I2为约50到150sccm(或约80到100sccm),CF2I2为约50到150sccm(或约80到100sccm),O2为约10到60sccm(或约20到40sccm),以及惰性气体为约500到1500sccm(或约900到1300sccm)。C4F8与C5F6∶C2F4Br2或C2F4I2的比率为约0.25到1.5∶1(或约0.5到1∶1),且C4F8与C4F6∶CF2I2的比率为约0.25到1.5∶1(或约0.5到1.0)。
蚀刻气体可任选地包括额外的氟碳化合物气体(例如,CF4、C2F6等),气体流速高达约50sccm(或约10到50sccm)。
任选地,蚀刻气体还可大约包括例如CH4等碳氢化合物。CH4的典型流动速率高达约5sccm(或高达约20sccm),且氢氟碳化合物高达约5sccm(或高达约20sccm)。
可按需调整蚀刻气体的各种组成气体的流动速率,以提供根据本发明的方法的合适蚀刻,且适应蚀刻腔室和正蚀刻的晶片的大小。与蚀刻腔室相关联的等离子体的功率电平和蚀刻腔室中的压力应足以维持蚀刻工艺。源功率电平通常在约1000到3000瓦的范围内,偏置功率电平在约2000到7000瓦的范围内,且压力大体上在约10到30mTorr的范围内。
本发明形成具有高纵横比的接触孔而不引起孔的弯曲或扭转,所述孔具有在孔的整个深度上大体上相同的直径(CD)。
在接触孔12′的蚀刻完成后,接着可(例如)通过以氧等离子体灰化步骤进行干式蚀刻或通过以Piranha清洁(H2SO4/H2O2)进行湿式蚀刻而移除(剥落)掩模层28′和聚合物层22′。
衬底10′可经历此项技术中已知的制造所要组件的后蚀刻处理步骤。举例来说,可(例如)通过以例如(尤其)铜、铝、硅、Ti3N4的金属或导电材料进行填充而进一步处理所得的接触孔12′,以在例如存储器装置等集成电路装置的制造中形成(例如)到下伏活性区域、接触或导电线的接触或导电线,或使用金属-绝缘体-金属堆叠而以例如Al2O3、HfO2、ZrO2、SrTiO3等电介质材料形成电容器。可将完成的半导体晶片切割为电路小片,其可接着经进一步处理为集成电路芯片且并入电子装置中。
尽管在本文中已说明和描述了特定实施例,但所属领域的技术人员将了解,经计算以实现相同目的的任何布置可替代所展示的特定实施例。本申请案意欲涵盖根据如所描述的本发明的原理而操作的任何调适或变化。因此,希望本发明仅受权利要求书及其等效物限制。在本申请案中所引用的专利、参考和公开案的揭示内容以引用的方式并入本文中。

Claims (23)

1.一种在电介质层中蚀刻开口的方法,其包含:
从C4F6、C4F8或C4F6与C4F8的混合物、氧源气体、惰性气体和C2F4形成等离子体蚀刻气体;以及
以所述等离子体蚀刻气体穿过所述电介质层蚀刻所述开口到达下伏衬底,其中在所述蚀刻期间沿所述开口的侧壁维持保形聚合物层。
2.根据权利要求1所述的方法,其中所述等离子体蚀刻气体包含约0.25到1.5∶1的比率的C4F6∶C2F4、C4F8∶C2F4,或C4F8与C4F6∶C2F4
3.根据权利要求1所述的方法,其中所述电介质层包含氧化物。
4.根据权利要求1所述的方法,其中所述电介质层包含氮化硅,且所述等离子体蚀刻气体进一步包含具有通式CxHyFz的氢氟碳化合物,其中x=1到6、y=1到6且z=1到6,或其组合。
5.根据权利要求1所述的方法,其中所述开口为接触孔或具有为约20到30∶1的纵横比的沟槽。
6.根据权利要求1所述的方法,其中所述开口的所述侧壁上的所述保形聚合物层针对所述开口的深度轮廓具有约5到
Figure FPA00001177016700011
的厚度。
7.根据权利要求1所述的方法,其中以约3000到
Figure FPA00001177016700012
/分钟的速率蚀刻所述电介质。
8.根据权利要求1所述的方法,其中所述蚀刻气体基本上由所述气体组成。
9.根据权利要求1所述的方法,其中形成所述等离子体蚀刻气体包含对于C4F6或C4F8以约10到100sccm且对于C2F4以约50到150sccm的流动速率使所述气体流动。
10.根据权利要求1所述的方法,其中形成所述等离子体蚀刻气体包含以约30到100sccm的组合流动速率使C4F6和C4F8流动,且以约50到150sccm的流动速率使C2F4流动。
11.一种在电介质层中蚀刻开口的方法,其包含:
从流动速率为约50到70sccm的C4F6、C4F8或C4F6与C4F8的混合物、流动速率为约20到40sccm的氧源气体、流动速率为约900到1300sccm的惰性稀释气体和流动速率为约80到100sccm的C2F4形成等离子体蚀刻气体;以及
以所述等离子体蚀刻气体穿过所述电介质层蚀刻所述开口到达下伏衬底,其中在所述蚀刻期间沿所述开口的侧壁维持保形聚合物层。
12.一种在电介质层中蚀刻开口的方法,其包含:
施加等离子体蚀刻气体以蚀刻所述电介质层到达下伏衬底,从C4F6、C4F8或C4F6与C4F8的混合物、氧源气体、惰性稀释气体和选自由C2F4Br2、C2F4I2和CF2I2组成的群组的卤代氟碳化合物形成所述等离子体蚀刻气体;
其中在蚀刻期间沿所述开口的侧壁维持保形聚合物层。
13.根据权利要求12所述的方法,其中通过以约10到100sccm的流动速率使C4F6、C4F8或C4F6与C4F8的混合物流动且以约50到300sccm的速率使所述卤代氟碳化合物流动而形成所述等离子体蚀刻气体。
14.根据权利要求13所述的方法,其中通过以约50到150sccm的速率使C2F4Br2或C2F4I2流动或以约100到300sccm的速率使CF2I2流动而形成所述等离子体蚀刻气体。
15.根据权利要求12所述的方法,其中所述等离子体蚀刻气体包含约0.25到1.5∶1的比率的C4F6∶卤代氟碳化合物、C4F8∶卤代氟碳化合物或C4F8与C4F6∶卤代烃。
16.根据权利要求12所述的方法,其中所述等离子体蚀刻气体进一步包含具有通式CxFy的额外氟碳化合物气体,其中x=1到6且y=2到8。
17.根据权利要求12所述的方法,其中所述电介质层包含氮化硅,且所述等离子体蚀刻气体进一步包含具有通式CxHyFz的氢氟碳化合物气体,其中x=1到6、y=1到6且z=1到6,或其混合物。
18.一种用于蚀刻电介质材料的蚀刻气体,其包含C4F6与C4F8中的至少一者、以及氧源气体、惰性稀释气体和C2F4,其量有效地形成等离子体蚀刻气体以穿过电介质层蚀刻开口,同时在所述蚀刻期间在所述开口的侧壁上维持保形聚合物层。
19.根据权利要求18所述的蚀刻气体,其进一步包含具有通式CxFy的额外氟碳化合物气体,其中x=1到6且y=2到8。
20.根据权利要求18所述的蚀刻气体,其进一步包含具有通式CxHyFz的氢氟碳化合物气体,其中x=1到6、y=1到6且z=1到6,或其混合物。
21.一种用于对电介质层进行等离子体蚀刻的蚀刻气体,其包含C4F6与C4F8中的至少一者、氧源气体、惰性载气和选自由C2F4Br2、C2F4I2和CF2I2组成的群组的卤代氟碳化合物,其量有效地形成等离子体蚀刻气体以穿过电介质层蚀刻开口,同时在所述蚀刻期间在所述开口的侧壁上维持保形聚合物层。
22.根据权利要求21所述的蚀刻气体,其基本上由所述气体组成。
23.根据权利要求21所述的蚀刻气体,其进一步包含以下至少一者:具有通式CxFy的额外氟碳化合物气体,其中x=1到6且y=2到8,和具有通式CxHyFz的氢氟碳化合物气体,其中x=1到6、y=1到6且z=1到6。
CN200880123894.6A 2008-01-04 2008-12-16 蚀刻高纵横比接触的方法 Active CN101911263B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/969,443 2008-01-04
US11/969,443 US8614151B2 (en) 2008-01-04 2008-01-04 Method of etching a high aspect ratio contact
PCT/US2008/086921 WO2009088660A1 (en) 2008-01-04 2008-12-16 Method of etching a high aspect ratio contact

Publications (2)

Publication Number Publication Date
CN101911263A true CN101911263A (zh) 2010-12-08
CN101911263B CN101911263B (zh) 2012-07-18

Family

ID=40342418

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880123894.6A Active CN101911263B (zh) 2008-01-04 2008-12-16 蚀刻高纵横比接触的方法

Country Status (7)

Country Link
US (2) US8614151B2 (zh)
KR (1) KR101158205B1 (zh)
CN (1) CN101911263B (zh)
DE (1) DE112008003598B4 (zh)
GB (1) GB2468458B (zh)
TW (1) TWI402908B (zh)
WO (1) WO2009088660A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103871868A (zh) * 2012-12-11 2014-06-18 北大方正集团有限公司 一种直孔刻蚀方法
CN103946975A (zh) * 2011-10-26 2014-07-23 国际商业机器公司 用于含氮电介质层的低能蚀刻方法
CN104885203A (zh) * 2012-10-30 2015-09-02 乔治洛德方法研究和开发液化空气有限公司 用于高纵横比氧化物蚀刻的氟碳分子
WO2016074581A1 (zh) * 2014-11-13 2016-05-19 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
CN106298502A (zh) * 2015-05-18 2017-01-04 中微半导体设备(上海)有限公司 一种利用等离子体对多层材料刻蚀的方法
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN110767658A (zh) * 2019-10-30 2020-02-07 上海华力微电子有限公司 闪存器件的形成方法
CN110970297A (zh) * 2018-09-29 2020-04-07 长鑫存储技术有限公司 补偿性蚀刻方法及结构、半导体器件及其制备方法

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090103049A (ko) * 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
KR101096249B1 (ko) * 2009-05-29 2011-12-22 주식회사 하이닉스반도체 마스크 및 제조 방법
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
JP5373669B2 (ja) * 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
JP5839689B2 (ja) * 2011-02-28 2016-01-06 東京エレクトロン株式会社 プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
TWI478234B (zh) * 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US20130122707A1 (en) * 2011-11-14 2013-05-16 Daisuke Shimizu Methods of polymers deposition for forming reduced critical dimensions
WO2014123922A1 (en) 2013-02-05 2014-08-14 Butterfly Network, Inc. Cmos ultrasonic transducers and related apparatus and methods
JP6232124B2 (ja) 2013-03-15 2017-11-15 バタフライ ネットワーク,インコーポレイテッド 相補型金属酸化膜半導体(cmos)超音波振動子およびその形成方法
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
KR101772309B1 (ko) * 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US9287124B2 (en) * 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
TWI642809B (zh) 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9748366B2 (en) * 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
KR102476934B1 (ko) * 2013-12-30 2022-12-14 더 케무어스 컴퍼니 에프씨, 엘엘씨 챔버 세정 및 반도체 식각 기체
CN106659464B (zh) 2014-04-18 2020-03-20 蝴蝶网络有限公司 互补金属氧化物半导体(cmos)晶片中的超声换能器及相关装置和方法
US9385187B2 (en) 2014-04-25 2016-07-05 Texas Instruments Incorporated High breakdown N-type buried layer
TWI695423B (zh) 2014-06-18 2020-06-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US9067779B1 (en) 2014-07-14 2015-06-30 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US10217681B1 (en) * 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9337292B1 (en) * 2014-11-26 2016-05-10 Texas Instruments Incorporated Very high aspect ratio contact
US9647022B2 (en) * 2015-02-12 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer structure for high aspect ratio etch
KR20160119329A (ko) 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9934984B2 (en) 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US9627215B1 (en) * 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9987661B2 (en) 2015-12-02 2018-06-05 Butterfly Network, Inc. Biasing of capacitive micromachined ultrasonic transducers (CMUTs) and related apparatus and methods
CN108091570B (zh) * 2016-11-23 2020-09-04 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US10196261B2 (en) 2017-03-08 2019-02-05 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
EP3608945A4 (en) * 2017-04-06 2020-12-23 Kanto Denka Kogyo Co., Ltd. DRY ETCHING GAS COMPOSITION AND DRY ETCHING PROCESS
WO2018236956A1 (en) 2017-06-21 2018-12-27 Butterfly Network, Inc. MICROFABRICATED ULTRASONIC TRANSDUCER HAVING INDIVIDUAL CELLS HAVING ELECTRICALLY ISOLATED ELECTRODE SECTIONS
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
US10756105B2 (en) 2018-11-26 2020-08-25 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
US11404431B2 (en) 2018-12-04 2022-08-02 Sunrise Memory Corporation Methods for forming multilayer horizontal NOR-type thin-film memory strings
KR20200100555A (ko) * 2019-02-18 2020-08-26 도쿄엘렉트론가부시키가이샤 에칭 방법
US11171013B2 (en) * 2019-04-22 2021-11-09 University Of Maryland, College Park Leveraging precursor molecular composition and structure for atomic layer etching
WO2020247977A1 (en) * 2019-06-04 2020-12-10 Lam Research Corporation Polymerization protective liner for reactive ion etch in patterning
US11069598B2 (en) * 2019-06-18 2021-07-20 Micron Technology, Inc. Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs)
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110544627A (zh) * 2019-09-12 2019-12-06 长江存储科技有限责任公司 高深宽比开口的刻蚀方法及刻蚀气体
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
US20230374381A1 (en) * 2020-10-15 2023-11-23 Resonac Corporation Etching gas, method for producing same, etching method, and method for producing semiconductor device
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US20220223430A1 (en) * 2021-01-12 2022-07-14 Kioxia Corporation Plasma etching method and plasma etching apparatus
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US11342336B1 (en) * 2021-02-03 2022-05-24 Micron Technology, Inc. Integrated circuitry, memory circuitry, method used in forming integrated circuitry, and method used in forming memory circuitry
CN113471049B (zh) 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2407419A (en) * 1943-02-15 1946-09-10 Du Pont Stabilization of tetrafluoroethylene
US4381384A (en) * 1981-08-17 1983-04-26 E. I. Du Pont De Nemours And Company Continuous polymerization process
EP0246514A3 (en) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Deep trench etching of single crystal silicon
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3623256B2 (ja) 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
WO1996016437A1 (en) 1994-11-18 1996-05-30 Advanced Micro Devices, Inc. Silicon nitride etch process with critical dimension gain
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
DE19803997B4 (de) * 1998-02-02 2018-01-25 Giesecke+Devrient Currency Technology Gmbh Wertdokument
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
JP3336975B2 (ja) 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
JP2991192B1 (ja) 1998-07-23 1999-12-20 日本電気株式会社 プラズマ処理方法及びプラズマ処理装置
US6184119B1 (en) 1999-03-15 2001-02-06 Vlsi Technology, Inc. Methods for reducing semiconductor contact resistance
SG93856A1 (en) * 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6890863B1 (en) 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
KR100465596B1 (ko) * 2000-05-24 2005-01-13 주식회사 하이닉스반도체 반도체소자의 제조방법
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US7112533B2 (en) 2000-08-31 2006-09-26 Micron Technology, Inc. Plasma etching system and method
JP2002110647A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US20020142610A1 (en) 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP4073204B2 (ja) 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
JP2007180493A (ja) 2005-11-30 2007-07-12 Elpida Memory Inc 半導体装置の製造方法
WO2007105261A1 (ja) 2006-03-09 2007-09-20 Philtech Inc. 層間絶縁膜のドライエッチング方法
KR100763227B1 (ko) * 2006-04-04 2007-10-04 삼성전자주식회사 분리 노광 방법을 이용한 포토마스크와 그 제조 방법 및 제조 장치
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9633948B2 (en) 2011-10-26 2017-04-25 Globalfoundries Inc. Low energy etch process for nitrogen-containing dielectric layer
CN103946975A (zh) * 2011-10-26 2014-07-23 国际商业机器公司 用于含氮电介质层的低能蚀刻方法
CN103946975B (zh) * 2011-10-26 2016-08-17 国际商业机器公司 用于含氮电介质层的低能蚀刻方法
CN104885203A (zh) * 2012-10-30 2015-09-02 乔治洛德方法研究和开发液化空气有限公司 用于高纵横比氧化物蚀刻的氟碳分子
CN104885203B (zh) * 2012-10-30 2017-08-01 乔治洛德方法研究和开发液化空气有限公司 用于高纵横比氧化物蚀刻的氟碳分子
CN103871868A (zh) * 2012-12-11 2014-06-18 北大方正集团有限公司 一种直孔刻蚀方法
WO2016074581A1 (zh) * 2014-11-13 2016-05-19 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
CN106298502A (zh) * 2015-05-18 2017-01-04 中微半导体设备(上海)有限公司 一种利用等离子体对多层材料刻蚀的方法
CN106298502B (zh) * 2015-05-18 2019-04-09 中微半导体设备(上海)股份有限公司 一种利用等离子体对多层材料刻蚀的方法
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN110178206B (zh) * 2016-12-30 2023-08-18 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN110970297A (zh) * 2018-09-29 2020-04-07 长鑫存储技术有限公司 补偿性蚀刻方法及结构、半导体器件及其制备方法
CN110970297B (zh) * 2018-09-29 2024-06-07 长鑫存储技术有限公司 补偿性蚀刻方法及结构、半导体器件及其制备方法
CN110767658A (zh) * 2019-10-30 2020-02-07 上海华力微电子有限公司 闪存器件的形成方法

Also Published As

Publication number Publication date
TWI402908B (zh) 2013-07-21
GB2468458A (en) 2010-09-08
GB2468458B (en) 2013-02-20
WO2009088660A1 (en) 2009-07-16
US20140077126A1 (en) 2014-03-20
KR20100098580A (ko) 2010-09-07
US20090176375A1 (en) 2009-07-09
DE112008003598B4 (de) 2016-09-01
KR101158205B1 (ko) 2012-06-20
GB201011217D0 (en) 2010-08-18
DE112008003598T5 (de) 2010-12-16
US8614151B2 (en) 2013-12-24
CN101911263B (zh) 2012-07-18
TW200949929A (en) 2009-12-01

Similar Documents

Publication Publication Date Title
CN101911263B (zh) 蚀刻高纵横比接触的方法
US11152223B2 (en) Fluorocarbon molecules for high aspect ratio oxide etch
KR102247535B1 (ko) 고종횡비 구조들을 위한 제거 방법들
US11075084B2 (en) Chemistries for etching multi-stacked layers
JP2023053121A (ja) 半導体構造エッチング用ヨウ素含有化合物
US11062921B1 (en) Systems and methods for aluminum-containing film removal
US11335565B2 (en) Systems and methods to form airgaps
US20220351979A1 (en) Systems and methods for selective metal compound removal
US20220293430A1 (en) Isotropic silicon nitride removal
US11984325B2 (en) Selective removal of transition metal nitride materials
US20240258116A1 (en) Systems and methods for titanium-containing film removal
KR20230004014A (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant