TWI695423B - 用於tsv/mems/功率元件蝕刻的化學物質 - Google Patents
用於tsv/mems/功率元件蝕刻的化學物質 Download PDFInfo
- Publication number
- TWI695423B TWI695423B TW108109359A TW108109359A TWI695423B TW I695423 B TWI695423 B TW I695423B TW 108109359 A TW108109359 A TW 108109359A TW 108109359 A TW108109359 A TW 108109359A TW I695423 B TWI695423 B TW I695423B
- Authority
- TW
- Taiwan
- Prior art keywords
- etching
- fluid
- silicon
- hydrogen
- aspect ratio
- Prior art date
Links
- 238000005530 etching Methods 0.000 title claims abstract description 129
- 238000000034 method Methods 0.000 claims abstract description 68
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 56
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 56
- 239000010703 silicon Substances 0.000 claims abstract description 56
- 239000012530 fluid Substances 0.000 claims description 186
- 229920000642 polymer Polymers 0.000 claims description 148
- 230000008021 deposition Effects 0.000 claims description 128
- 229910052739 hydrogen Inorganic materials 0.000 claims description 121
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 119
- 239000001257 hydrogen Substances 0.000 claims description 119
- 239000000758 substrate Substances 0.000 claims description 50
- 239000007789 gas Substances 0.000 claims description 28
- 238000001020 plasma etching Methods 0.000 claims description 25
- 239000000126 substance Substances 0.000 claims description 25
- 229920006254 polymer film Polymers 0.000 claims description 19
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 12
- 239000011261 inert gas Substances 0.000 claims description 11
- 229910052760 oxygen Inorganic materials 0.000 claims description 11
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 10
- LMSLTAIWOIYSGZ-LWMBPPNESA-N (3s,4s)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-LWMBPPNESA-N 0.000 claims description 9
- 229910052799 carbon Inorganic materials 0.000 claims description 9
- DMUPYMORYHFFCT-UPHRSURJSA-N (z)-1,2,3,3,3-pentafluoroprop-1-ene Chemical compound F\C=C(/F)C(F)(F)F DMUPYMORYHFFCT-UPHRSURJSA-N 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 8
- 239000001301 oxygen Substances 0.000 claims description 8
- QMIWYOZFFSLIAK-UHFFFAOYSA-N 3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene Chemical compound FC(F)(F)C(=C)C(F)(F)F QMIWYOZFFSLIAK-UHFFFAOYSA-N 0.000 claims description 6
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 5
- LMSLTAIWOIYSGZ-XIXRPRMCSA-N (3s,4r)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-XIXRPRMCSA-N 0.000 claims description 4
- DMUPYMORYHFFCT-OWOJBTEDSA-N (e)-1,2,3,3,3-pentafluoroprop-1-ene Chemical group F\C=C(\F)C(F)(F)F DMUPYMORYHFFCT-OWOJBTEDSA-N 0.000 claims description 4
- YIFLMZOLKQBEBO-UPHRSURJSA-N (z)-1,1,1,2,4,4,4-heptafluorobut-2-ene Chemical compound FC(F)(F)C(/F)=C/C(F)(F)F YIFLMZOLKQBEBO-UPHRSURJSA-N 0.000 claims description 4
- LTVIWHSKXRWJJN-UPHRSURJSA-N (z)-1,1,1,2,4,4-hexafluorobut-2-ene Chemical compound FC(F)\C=C(/F)C(F)(F)F LTVIWHSKXRWJJN-UPHRSURJSA-N 0.000 claims description 4
- NUPBXTZOBYEVIR-UHFFFAOYSA-N 1,1,2,3,3,4,4-heptafluorobut-1-ene Chemical compound FC(F)C(F)(F)C(F)=C(F)F NUPBXTZOBYEVIR-UHFFFAOYSA-N 0.000 claims description 4
- NDMMKOCNFSTXRU-UHFFFAOYSA-N 1,1,2,3,3-pentafluoroprop-1-ene Chemical compound FC(F)C(F)=C(F)F NDMMKOCNFSTXRU-UHFFFAOYSA-N 0.000 claims description 4
- QAERDLQYXMEHEB-UHFFFAOYSA-N 1,1,3,3,3-pentafluoroprop-1-ene Chemical compound FC(F)=CC(F)(F)F QAERDLQYXMEHEB-UHFFFAOYSA-N 0.000 claims description 4
- FAOACLKUNWKVPH-UHFFFAOYSA-N 2,3,3,4,4,4-hexafluorobut-1-ene Chemical compound FC(=C)C(F)(F)C(F)(F)F FAOACLKUNWKVPH-UHFFFAOYSA-N 0.000 claims description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- NLOLSXYRJFEOTA-OWOJBTEDSA-N (e)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C\C(F)(F)F NLOLSXYRJFEOTA-OWOJBTEDSA-N 0.000 claims description 3
- 239000011737 fluorine Substances 0.000 claims description 3
- 238000009832 plasma treatment Methods 0.000 claims description 3
- NLOLSXYRJFEOTA-UPHRSURJSA-N (z)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C/C(F)(F)F NLOLSXYRJFEOTA-UPHRSURJSA-N 0.000 claims 1
- 238000002161 passivation Methods 0.000 abstract description 3
- 238000000151 deposition Methods 0.000 description 127
- 238000006243 chemical reaction Methods 0.000 description 24
- 239000000463 material Substances 0.000 description 20
- 150000001875 compounds Chemical class 0.000 description 13
- 235000012431 wafers Nutrition 0.000 description 12
- 239000000203 mixture Substances 0.000 description 11
- 238000012360 testing method Methods 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 6
- 238000009623 Bosch process Methods 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 229920001940 conductive polymer Polymers 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 5
- IZHPSCJEIFFRLN-UHFFFAOYSA-N 3,3,4,4,4-pentafluorobut-1-ene Chemical compound FC(F)(F)C(F)(F)C=C IZHPSCJEIFFRLN-UHFFFAOYSA-N 0.000 description 4
- FHQKLIHFKVAEEP-UHFFFAOYSA-N 3,3,4,4,5,5-hexafluorocyclopentene Chemical compound FC1(F)C=CC(F)(F)C1(F)F FHQKLIHFKVAEEP-UHFFFAOYSA-N 0.000 description 4
- OFBQJSOFQDEBGM-UHFFFAOYSA-N Pentane Chemical compound CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 4
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 4
- 125000004432 carbon atom Chemical group C* 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- 230000008016 vaporization Effects 0.000 description 4
- CDOOAUSHHFGWSA-OWOJBTEDSA-N (e)-1,3,3,3-tetrafluoroprop-1-ene Chemical compound F\C=C\C(F)(F)F CDOOAUSHHFGWSA-OWOJBTEDSA-N 0.000 description 3
- DKSYJUPLFVFPRY-UHFFFAOYSA-N 2,4,4,4-tetrafluorobut-1-ene Chemical compound FC(=C)CC(F)(F)F DKSYJUPLFVFPRY-UHFFFAOYSA-N 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 3
- 238000004458 analytical method Methods 0.000 description 3
- 230000005587 bubbling Effects 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- -1 poly(3,4-ethylenedioxythiophene) Polymers 0.000 description 3
- 238000006116 polymerization reaction Methods 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 229910052717 sulfur Inorganic materials 0.000 description 3
- 239000011593 sulfur Substances 0.000 description 3
- MRZSIBDWXMHMHF-OWOJBTEDSA-N (e)-1,1,1,2,3,4,4,5,5-nonafluoropent-2-ene Chemical compound FC(F)C(F)(F)C(\F)=C(/F)C(F)(F)F MRZSIBDWXMHMHF-OWOJBTEDSA-N 0.000 description 2
- BLNWTWDBKNPDDJ-OWOJBTEDSA-N (e)-1,1,1,2,3,4,4-heptafluorobut-2-ene Chemical compound FC(F)C(\F)=C(/F)C(F)(F)F BLNWTWDBKNPDDJ-OWOJBTEDSA-N 0.000 description 2
- PXHPMSUXBSIIAN-HNQUOIGGSA-N (e)-1,1,1,2,3,4,5,5-octafluoropent-2-ene Chemical compound FC(F)C(F)C(\F)=C(/F)C(F)(F)F PXHPMSUXBSIIAN-HNQUOIGGSA-N 0.000 description 2
- YAQXNCHHASYLCA-OWOJBTEDSA-N (e)-1,2,3,3,4,4,5,5,5-nonafluoropent-1-ene Chemical compound F\C=C(\F)C(F)(F)C(F)(F)C(F)(F)F YAQXNCHHASYLCA-OWOJBTEDSA-N 0.000 description 2
- BLNWTWDBKNPDDJ-UPHRSURJSA-N (z)-1,1,1,2,3,4,4-heptafluorobut-2-ene Chemical compound FC(F)C(\F)=C(\F)C(F)(F)F BLNWTWDBKNPDDJ-UPHRSURJSA-N 0.000 description 2
- CDOOAUSHHFGWSA-UPHRSURJSA-N (z)-1,3,3,3-tetrafluoroprop-1-ene Chemical compound F\C=C/C(F)(F)F CDOOAUSHHFGWSA-UPHRSURJSA-N 0.000 description 2
- BLNWTWDBKNPDDJ-UHFFFAOYSA-N 1,1,1,2,3,4,4-heptafluorobut-2-ene Chemical compound FC(F)C(F)=C(F)C(F)(F)F BLNWTWDBKNPDDJ-UHFFFAOYSA-N 0.000 description 2
- GGMAUXPWPYFQRB-UHFFFAOYSA-N 1,1,2,2,3,3,4,4-octafluorocyclopentane Chemical compound FC1(F)CC(F)(F)C(F)(F)C1(F)F GGMAUXPWPYFQRB-UHFFFAOYSA-N 0.000 description 2
- QVEJLBREDQLBKB-UHFFFAOYSA-N 1,1,2,2,3,3,4,5-octafluorocyclopentane Chemical compound FC1C(F)C(F)(F)C(F)(F)C1(F)F QVEJLBREDQLBKB-UHFFFAOYSA-N 0.000 description 2
- LGWNSTJNCWGDPH-UHFFFAOYSA-N 1,1,2,2,3,3,4-heptafluorocyclobutane Chemical compound FC1C(F)(F)C(F)(F)C1(F)F LGWNSTJNCWGDPH-UHFFFAOYSA-N 0.000 description 2
- IDBYQQQHBYGLEQ-UHFFFAOYSA-N 1,1,2,2,3,3,4-heptafluorocyclopentane Chemical compound FC1CC(F)(F)C(F)(F)C1(F)F IDBYQQQHBYGLEQ-UHFFFAOYSA-N 0.000 description 2
- VZCNIAXISXDIDR-UHFFFAOYSA-N 1,1,2,2,3,3-hexafluoro-4-(trifluoromethyl)cyclobutane Chemical compound FC(F)(F)C1C(F)(F)C(F)(F)C1(F)F VZCNIAXISXDIDR-UHFFFAOYSA-N 0.000 description 2
- MITPAYPSRYWXNR-UHFFFAOYSA-N 1,1,2,2,3,3-hexafluorocyclopentane Chemical compound FC1(F)CCC(F)(F)C1(F)F MITPAYPSRYWXNR-UHFFFAOYSA-N 0.000 description 2
- CCVRBOAAPJPHKL-UHFFFAOYSA-N 1,1,2,2,3-pentafluorocyclobutane Chemical compound FC1CC(F)(F)C1(F)F CCVRBOAAPJPHKL-UHFFFAOYSA-N 0.000 description 2
- AKQMZZOTFNLAQJ-UHFFFAOYSA-N 1,1,2,2-tetrafluorocyclobutane Chemical compound FC1(F)CCC1(F)F AKQMZZOTFNLAQJ-UHFFFAOYSA-N 0.000 description 2
- UVMVIDWOUFITPW-UHFFFAOYSA-N 1,1,2,3,3-pentafluorocyclobutane Chemical compound FC1C(F)(F)CC1(F)F UVMVIDWOUFITPW-UHFFFAOYSA-N 0.000 description 2
- DZWYKNVXBDJJHP-UHFFFAOYSA-N 1,1,2-trifluorobut-1-ene Chemical compound CCC(F)=C(F)F DZWYKNVXBDJJHP-UHFFFAOYSA-N 0.000 description 2
- MIZLGWKEZAPEFJ-UHFFFAOYSA-N 1,1,2-trifluoroethene Chemical group FC=C(F)F MIZLGWKEZAPEFJ-UHFFFAOYSA-N 0.000 description 2
- GCNWWRIQEJNUIF-UHFFFAOYSA-N 1,1,3,3,4,4,4-heptafluorobut-1-ene Chemical compound FC(F)=CC(F)(F)C(F)(F)F GCNWWRIQEJNUIF-UHFFFAOYSA-N 0.000 description 2
- NRWXKFNYCVGVGP-UHFFFAOYSA-N 1,1,3,3,4,4,5,5,5-nonafluoropent-1-ene Chemical compound FC(F)=CC(F)(F)C(F)(F)C(F)(F)F NRWXKFNYCVGVGP-UHFFFAOYSA-N 0.000 description 2
- BNYODXFAOQCIIO-UHFFFAOYSA-N 1,1,3,3-tetrafluoroprop-1-ene Chemical compound FC(F)C=C(F)F BNYODXFAOQCIIO-UHFFFAOYSA-N 0.000 description 2
- PABTUKMXOXSGHV-UHFFFAOYSA-N 1,1,4,4,4-pentafluoro-2-(trifluoromethyl)but-1-ene Chemical compound FC(F)=C(C(F)(F)F)CC(F)(F)F PABTUKMXOXSGHV-UHFFFAOYSA-N 0.000 description 2
- HCPDKGKTCAHBCY-UHFFFAOYSA-N 1,1-difluoro-2-methylprop-1-ene Chemical compound CC(C)=C(F)F HCPDKGKTCAHBCY-UHFFFAOYSA-N 0.000 description 2
- CYICOSUAVXTZOD-UHFFFAOYSA-N 1,1-difluorobut-1-ene Chemical compound CCC=C(F)F CYICOSUAVXTZOD-UHFFFAOYSA-N 0.000 description 2
- JQAHBADBSNZKFG-UHFFFAOYSA-N 1,2,3,3,4,4,5-heptafluorocyclopentene Chemical compound FC1C(F)=C(F)C(F)(F)C1(F)F JQAHBADBSNZKFG-UHFFFAOYSA-N 0.000 description 2
- PFQBLBUJQMUUOH-UHFFFAOYSA-N 1,2,3,3,4-pentafluorocyclopentene Chemical compound FC1CC(F)=C(F)C1(F)F PFQBLBUJQMUUOH-UHFFFAOYSA-N 0.000 description 2
- UBWKESXQKRAGIX-UHFFFAOYSA-N 1,2,3,4,5-pentafluorocyclopentane Chemical compound FC1C(F)C(F)C(F)C1F UBWKESXQKRAGIX-UHFFFAOYSA-N 0.000 description 2
- HFNVXYUDMOLEEN-UHFFFAOYSA-N 1,2,3-trifluoro-4-(trifluoromethyl)cyclobutane Chemical compound FC1C(F)C(C(F)(F)F)C1F HFNVXYUDMOLEEN-UHFFFAOYSA-N 0.000 description 2
- HJUUFZSWEYPGFM-UHFFFAOYSA-N 1,2,3-trifluorocyclobutane Chemical compound FC1CC(F)C1F HJUUFZSWEYPGFM-UHFFFAOYSA-N 0.000 description 2
- AWDCOETZVBNIIV-UHFFFAOYSA-N 1,3,3,4,4,5,5-heptafluorocyclopentene Chemical compound FC1=CC(F)(F)C(F)(F)C1(F)F AWDCOETZVBNIIV-UHFFFAOYSA-N 0.000 description 2
- QWLSILOFSSSNFY-UHFFFAOYSA-N 1-ethenyl-1,2,2,3,3-pentafluorocyclopropane Chemical compound FC1(F)C(F)(F)C1(F)C=C QWLSILOFSSSNFY-UHFFFAOYSA-N 0.000 description 2
- FXRLMCRCYDHQFW-UHFFFAOYSA-N 2,3,3,3-tetrafluoropropene Chemical compound FC(=C)C(F)(F)F FXRLMCRCYDHQFW-UHFFFAOYSA-N 0.000 description 2
- NQMSHLVISRYOFM-UHFFFAOYSA-N 3,3,4,4-tetrafluoro-1-methylcyclobutene Chemical compound CC1=CC(F)(F)C1(F)F NQMSHLVISRYOFM-UHFFFAOYSA-N 0.000 description 2
- WXQIMHHAEXBKKW-UHFFFAOYSA-N 3,3,4,4-tetrafluoro-2-methylbut-1-ene Chemical compound CC(=C)C(F)(F)C(F)F WXQIMHHAEXBKKW-UHFFFAOYSA-N 0.000 description 2
- BNLLWAALHYCOQM-UHFFFAOYSA-N 3,3,4,4-tetrafluorobut-1-ene Chemical compound FC(F)C(F)(F)C=C BNLLWAALHYCOQM-UHFFFAOYSA-N 0.000 description 2
- WCNKHTIPPVQEQW-UHFFFAOYSA-N 4,4,4-trifluorobut-1-ene Chemical compound FC(F)(F)CC=C WCNKHTIPPVQEQW-UHFFFAOYSA-N 0.000 description 2
- QQONPFPTGQHPMA-UHFFFAOYSA-N Propene Chemical compound CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 241000724291 Tobacco streak virus Species 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 238000000708 deep reactive-ion etching Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000000879 optical micrograph Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 125000001424 substituent group Chemical group 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- VSPVOSOCAZPIJQ-NSCUHMNNSA-N (e)-1,1,1,3-tetrafluorobut-2-ene Chemical compound C\C(F)=C/C(F)(F)F VSPVOSOCAZPIJQ-NSCUHMNNSA-N 0.000 description 1
- WPUUAHVUNJYCRY-NSCUHMNNSA-N (e)-1,1-difluorobut-2-ene Chemical compound C\C=C\C(F)F WPUUAHVUNJYCRY-NSCUHMNNSA-N 0.000 description 1
- ABBQDHANZQEWHT-OWOJBTEDSA-N (e)-1,4-difluorobut-2-ene Chemical compound FC\C=C\CF ABBQDHANZQEWHT-OWOJBTEDSA-N 0.000 description 1
- VSPVOSOCAZPIJQ-IHWYPQMZSA-N (z)-1,1,1,3-tetrafluorobut-2-ene Chemical compound C\C(F)=C\C(F)(F)F VSPVOSOCAZPIJQ-IHWYPQMZSA-N 0.000 description 1
- LTVIWHSKXRWJJN-UHFFFAOYSA-N 1,1,1,2,4,4-hexafluorobut-2-ene Chemical compound FC(F)C=C(F)C(F)(F)F LTVIWHSKXRWJJN-UHFFFAOYSA-N 0.000 description 1
- JVLWJKWBKARHRQ-UHFFFAOYSA-N 1,1,1,3,4,4-hexafluorobut-2-ene Chemical compound FC(F)C(F)=CC(F)(F)F JVLWJKWBKARHRQ-UHFFFAOYSA-N 0.000 description 1
- NLOLSXYRJFEOTA-UHFFFAOYSA-N 1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)C=CC(F)(F)F NLOLSXYRJFEOTA-UHFFFAOYSA-N 0.000 description 1
- CCESOERWJBCZBO-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobut-2-ene Chemical compound FC(F)C(F)=C(F)C(F)F CCESOERWJBCZBO-UHFFFAOYSA-N 0.000 description 1
- CDOOAUSHHFGWSA-UHFFFAOYSA-N 1,3,3,3-tetrafluoropropene Chemical group FC=CC(F)(F)F CDOOAUSHHFGWSA-UHFFFAOYSA-N 0.000 description 1
- VJOAJCOCCYFXPR-UHFFFAOYSA-N 3,3,3-trifluoro-2-methylprop-1-ene Chemical compound CC(=C)C(F)(F)F VJOAJCOCCYFXPR-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229920000144 PEDOT:PSS Polymers 0.000 description 1
- 229920001609 Poly(3,4-ethylenedioxythiophene) Polymers 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000011149 active material Substances 0.000 description 1
- 239000002390 adhesive tape Substances 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 238000013101 initial test Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- SYSQUGFVNFXIIT-UHFFFAOYSA-N n-[4-(1,3-benzoxazol-2-yl)phenyl]-4-nitrobenzenesulfonamide Chemical class C1=CC([N+](=O)[O-])=CC=C1S(=O)(=O)NC1=CC=C(C=2OC3=CC=CC=C3N=2)C=C1 SYSQUGFVNFXIIT-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- XSKIUFGOTYHDLC-UHFFFAOYSA-N palladium rhodium Chemical compound [Rh].[Pd] XSKIUFGOTYHDLC-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920001467 poly(styrenesulfonates) Polymers 0.000 description 1
- 239000010970 precious metal Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000012264 purified product Substances 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
- C09K13/04—Etching, surface-brightening or pickling compositions containing an inorganic acid
- C09K13/08—Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Micromachines (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- ing And Chemical Polishing (AREA)
Abstract
本發明揭示波希(Bosch)蝕刻製程中之cC4
F8
鈍化氣體之替代化學物質及使用該等化學物質之方法。此等化學物質具有式Cx
Hy
Fz
,其中1≤x<7、1≤y≤13且1≤z≤13。該等替代化學物質可減少與深度矽孔口蝕刻相關的RIE滯後。
Description
揭示波希(Bosch)蝕刻製程中之cC4
F8
鈍化氣體之替代化學物質及使用該等化學物質之方法。此等化學物質具有式Cx
Hy
Fz
,其中1≤x<7、1≤y≤13且1≤z≤13且較佳2≤x<4且1≤y≤2。替代化學物質減少反應性離子蝕刻滯後(RIE滯後)或縱橫比相關蝕刻(ARDE)。[相關申請案之交叉參考]
本申請案主張2014年6月18日申請之美國臨時申請案第62/013,959號的權益,該申請案出於所有目的以全文引用的方式併入本文中。
深度矽蝕刻對於3D積體電路(3D-IC)設計、微電子機器系統(MEMS)及功率元件製造而言是重要的。對於3D-IC,將多模(元件)垂直堆疊以獲得「最小尺寸」元件。深度蝕刻旨在形成孔洞/通孔繼而填充導電金屬以實現堆疊(亦稱為矽穿孔)之間的連通。對於MEMS,不同種類之感測器具有較高縱橫比之不同結構。諸如超接面二極體之功率元件亦需要深度溝槽蝕刻。
深度反應性離子蝕刻(DRIE)用於形成此類較高縱橫比結構(通常>15:1為未來技術所需)。工業上已採用可切換波希製程,其循環依序供應蝕刻氣體(SF6
)及側壁鈍化氣體(cC4
F8
)(參見例如美國專利第5,501,893號、第6,531,068號及第6,284,148號)。此製程提供高選擇性及高蝕刻速率。然而,由於離子/物質到達未來技術(諸如3D-IC及MEMS)中所利用之高縱橫比孔洞/通孔底部之物理困難,故在具有不同縱橫比之結構之間觀測到不均勻蝕刻速率(亦稱為RIE滯後或縱橫比相關蝕刻)。JVST A 24, 1283, 2006。較小尺寸開口亦使得深度蝕刻變得更加困難。Owen等人, IEEE MEMS 2012。換言之,縱橫比愈高且孔洞愈窄,使用波希製程之蝕刻速率愈慢。波希製程之另一缺點為蝕刻後難以移除聚合物殘餘物。Journal of the Korean Physical Society, 49 (2006) 1991-1997。
在當前工業應用中,尤其MEMS,可在矽層底部提供蝕刻終止層以用物理方式達到用於不同開口尺寸之相同蝕刻深度。JVST A 24, 1283, 2006。
另一解決方案調整蝕刻參數。LAM Research公司之WO 2009/036053揭示在波希製程中改變基板偏差作為RIE滯後之解決方案。WO2009/036053亦在波希製程中用NF3
或CHF3
替代SF6
且用CF4
替代cC4
F8
。
Fuller等人之US2013/105947揭示在各向異性蝕刻製程中用作聚合物沈積氣體之氫氟碳氣體,其在使用蝕刻劑氣體與聚合物沈積氣體之間交替以在半導體基板中蝕刻深溝槽。
與本申請案具有相同受讓人之WO2014/070838揭示用於在基板上之含Si層中電漿蝕刻通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞等之蝕刻流體。
DuPont之WO2014/160910揭示適用於移除CVD腔室中之表面沈積物之氫氟烯烴(hydrofluorolefin)組成物。
與本申請案具有相同受讓人之WO2015/035381揭示用於在含Si層及遮罩材料中電漿蝕刻通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞等之含硫化合物。
Trapp(Micron)之US6569774揭示一種經由氧化矽層使用Cx
Hy
Fz
蝕刻氣體形成高縱橫比接觸開口之電漿蝕刻製程,其中x為1至2,y為0至3且z為2x-y+2,或x為3至6,y為0至3且z為2x-y。
Solvay Fluor公司之WO2010/100254揭示某些氫氟烯烴用於多種製程之用途,包括作為用於半導體蝕刻或腔室清潔之蝕刻流體。氫氟烯烴可包括選自以下群a)及b)中之每一者的至少一種化合物之混合物:
a) (Z)-1,1,1,3-四氟丁-2-烯、(E)-1,1,1,3-四氟丁-2-烯或2,4,4,4-四氟丁-1-烯,及
b) 1,1,1,4,4,4-六氟丁-2-烯、1,1,2,3,4,4-六氟丁-2-烯、1,1,1,3,4,4-六氟丁-2-烯及1,1,1,2,4,4-六氟丁-2-烯。
仍需要波希型蝕刻製程以形成高縱橫比孔口。符號及命名法
特定縮寫、符號及術語用於以下說明書及申請專利範圍中,且包括:
如本文所使用,不定冠詞「一(a/an)」意謂一或多。
如本文所使用,術語「約(approximately/about)」意謂所陳述之值之±10%。
如本文所使用,術語「蝕刻(etch/etching)」指一種電漿蝕刻製程(亦即幹式蝕刻製程),其中離子轟擊使垂直方向之化學反應加速,從而沿著遮蔽特徵之邊緣以與基板成直角形成垂直側壁(Manos及Flamm, Plasma Etching An Introduction, Academic Press公司, 1989, 第12-13頁)。蝕刻製程在基板中產生孔口,諸如通孔、溝槽、通道孔洞、閘極溝槽、階梯式接點、電容器孔洞、接觸孔洞等。該孔口具有定義為高度與寬度之比率的縱橫比(20:1縱橫比具有20×高度及1×寬度,其中x≥1,較佳1≤x≤5(參見圖 5
))。
術語「圖案蝕刻(pattern etch)」或「圖案化蝕刻(patterned etch)」指例如藉由在含金屬層及/或含矽層之堆疊上置放圖案化遮罩層及在未被遮罩覆蓋之區域中蝕刻通孔或溝槽或其類似物來蝕刻非平面結構。術語「遮罩(mask)」指抗蝕刻之層。遮罩層可位於待蝕刻層上方或下方(蝕刻終止層)。遮罩層可為硬質遮罩,諸如TiN或TaN;或軟質遮罩,諸如聚合物或其他有機「軟質」抗蝕材料。「犧牲遮罩」材料為用於使基板圖案化且隨後移除之材料。
術語「選擇性(selectivity)」意謂一種材料之蝕刻速率與另一材料之蝕刻速率之比率。術語「選擇性蝕刻(selective etch/selectively etch)」意謂蝕刻一種材料多於另一材料,或換言之,兩種材料之間所具有之蝕刻選擇性大於或小於1:1。
如本文所使用,「-C」、「=C」及「>C」指該C如何鍵結至其餘結構,其中「-」為單鍵、「=」為雙鍵且「>」為環結構。舉例而言,「>CHF」可指cC4
H5
F3
,其中C4
環狀結構之至少一個C具有H及F取代基。
本文使用元素週期表之元素之標準縮寫。應理解,可藉由此等縮寫提及元素(例如,S指硫,Si指矽,H指氫等)。
請注意,諸如SiN及SiO之含Si膜列於本說明書及申請專利範圍中,而不提及其恰當的化學計量學。含矽層可包括純矽(Si)層,諸如結晶Si、多晶矽(polySi或多晶Si)或非晶矽;碳化矽(Sio
Cp
)層;氮化矽(Sik
NI
)層;氧化矽(Sin
Om
)層;或其混合物,其中k、I、m、n、o及p在1至6範圍內(包括端點)。較佳地,氮化矽為Sik
N1
,其中k及I各自在0.5至1.5範圍內。更佳地,氮化矽為Si1
N1
。較佳地,氧化矽為Sin
Om
,其中n在0.5至1.5範圍內且m在1.5至3.5範圍內。更佳地,氧化矽為SiO2
或SiO3
。含矽層可為矽。或者,含矽層可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials公司之Black Diamond II或III材料。含矽層亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
揭示使用循環製程在含矽基板中蝕刻孔口之方法。藉由含氟蝕刻流體電漿蝕刻含矽基板以形成經蝕刻之含矽基板。藉由含氫聚合物沈積流體之電漿使聚合物沈積於經蝕刻之含矽基板上。重複循環電漿蝕刻及聚合物沈積步驟。
亦揭示使用循環製程在含矽基板中蝕刻孔口之方法。同樣,用含氟蝕刻流體電漿蝕刻含矽基板以形成經蝕刻之含矽基板。電漿處理含氫聚合物沈積流體產生Ca
Hb
Fc
物質,其中a=1或2,b=1或2且c=1至3,其中物質總量之約50%至約100%的C:F比大於1:2。Ca
Hb
Fc
物質使聚合物沈積於經蝕刻之含矽基板上。重複電漿蝕刻、電漿處理及聚合物沈積步驟。
所揭示之方法中之任一者可包括以下態樣中之一或多者:
● 含矽基板為矽;
● 含氫聚合物沈積流體具有式Cx
Hy
Fz
,其中1≤x<7、1≤y≤13且1≤z≤13;
● 含氫聚合物沈積流體含有選自由以下組成之群的以下配體中之至少一者:=CH2
、=CF2
、=CFH、-CF2
H、CH2
F、>CHF及>CH2
;
● 含氫聚合物沈積流體為三氟乙烯;
● 含氫聚合物沈積流體為(Z)-1,2,3,3,3-五氟丙烯;
● 含氫聚合物沈積流體為1,1,2,3,3-五氟丙烯;
● 含氫聚合物沈積流體為1,1,3,3,3-五氟丙烯;
● 含氫聚合物沈積流體為1,2,3,3,3-五氟丙烯;
● 含氫聚合物沈積流體為(E)-1,2,3,3,3-五氟丙烯;
● 含氫聚合物沈積流體為2,3,3,3-四氟丙烯;
● 含氫聚合物沈積流體為(E)-1,3,3,3-四氟丙烯;
● 含氫聚合物沈積流體為1,1,3,3-四氟丙烯;
● 含氫聚合物沈積流體為(Z)-1,3,3,3-四氟丙烯;
● 含氫聚合物沈積流體為1,3,3,3-四氟丙烯;
● 含氫聚合物沈積流體為1,1,2,3,3,4,4-七氟丁-1-烯;
● 含氫聚合物沈積流體為1,1,1,2,4,4,4-七氟-2-丁烯;
● 含氫聚合物沈積流體為七氟環丁烷;
● 含氫聚合物沈積流體為(Z)-1,1,1,2,3,4,4-七氟-2-丁烯;
● 含氫聚合物沈積流體為(E)-1,1,1,2,3,4,4-七氟-2-丁烯;
● 含氫聚合物沈積流體為1,1,3,3,4,4,4-七氟-1-丁烯;
● 含氫聚合物沈積流體為1,1,1,2,3,4,4-七氟-2-丁烯;
● 含氫聚合物沈積流體為六氟異丁烯;
● 含氫聚合物沈積流體為順-1,1,2,2,3,4-六氟環丁烷;
● 含氫聚合物沈積流體為反-1,1,2,2,3,4-六氟環丁烷;
● 含氫聚合物沈積流體為六氟異丁烯;
● 含氫聚合物沈積流體為2,3,3,4,4,4-六氟-1-丁烯;
● 含氫聚合物沈積流體為1,1,3,4,4,4-六氟丁-2-烯;
● 含氫聚合物沈積流體為3,3,4,4,4-五氟丁烯-1;
● 含氫聚合物沈積流體為1,1,2,2,3-五氟環丁烷;
● 含氫聚合物沈積流體為1,1,4,4,4-五氟丁烯-1;
● 含氫聚合物沈積流體為1,1,2,3,3-五氟環丁烷;
● 含氫聚合物沈積流體為3,3,4,4,4-五氟-1-丁烯;
● 含氫聚合物沈積流體為2,4,4,4-四氟-1-丁烯;
● 含氫聚合物沈積流體為1,1,2,2-四氟環丁烷;
● 含氫聚合物沈積流體為3,3,4,4-四氟-1-丁烯;
● 含氫聚合物沈積流體為4,4,4-三氟-1-丁烯;
● 含氫聚合物沈積流體為1,1,2-三氟丁-1-烯;
● 含氫聚合物沈積流體為2-(三氟甲基)丙烯;
● 含氫聚合物沈積流體為1,1,二氟-2-(氟甲基)環丙烷;
● 含氫聚合物沈積流體為1,2,3-三氟環丁烷;
● 含氫聚合物沈積流體為1,1-二氟丁烯;
● 含氫聚合物沈積流體為(2E)-1,4-二氟-2-丁烯;
● 含氫聚合物沈積流體為(2E)-1,1-二氟-2-丁烯;
● 含氫聚合物沈積流體為1,1-二氟-2-甲基-1-丙烯;
● 含氫聚合物沈積流體為九氟環戊烷;
● 含氫聚合物沈積流體為1,1,2,2,3,3-六氟-4-(三氟甲基)環丁烷;
● 含氫聚合物沈積流體為(1E)-1,2,3,3,4,4,5,5,5-九氟-1-戊烯;
● 含氫聚合物沈積流體為1,1,3,3,4,4,5,5,5-九氟-1-戊烯;
● 含氫聚合物沈積流體為(2E)-1,1,1,2,3,4,4,5,5-九氟-2-戊烯;
● 含氫聚合物沈積流體為1,3,3,4,4,5,5-七氟環戊烯;
● 含氫聚合物沈積流體為1,2,3,3,4,4,5-七氟環戊烯;
● 含氫聚合物沈積流體為3,3,4,4,5,5-六氟環戊烯;
● 含氫聚合物沈積流體為六氟環戊烯;
● 含氫聚合物沈積流體為五氟(乙烯基)環丙烷;
● 含氫聚合物沈積流體為1,2,3,3,4-五氟環戊烯;
● 含氫聚合物沈積流體為3,3,4,4-四氟-1-甲基環丁烯;
● 含氫聚合物沈積流體為1,2,3-三氟-4-(三氟甲基)環丁烷;
● 含氫聚合物沈積流體為1,1,2,2,3,3-六氟環戊烷;
● 含氫聚合物沈積流體為1,1,2,2,3,3,4-七氟環戊烷;
● 含氫聚合物沈積流體為1,1,2,2,3,3,4,5-八氟環戊烷;
● 含氫聚合物沈積流體為八氟環戊烷;
● 含氫聚合物沈積流體為1,1,4,4,4-五氟-2-(三氟甲基)丁-1-烯;
● 含氫聚合物沈積流體為(E)-1,1,1,2,3,4,5,5-八氟戊-2-烯;
● 含氫聚合物沈積流體為1,2,3,4,5-五氟環戊烷;
● 含氫聚合物沈積流體為3,3,4,4-四氟-2-甲基-1-丁烯;
● 蝕刻流體為SF6
;
● 蝕刻流體為SF5
CF3
;
● 蝕刻流體為SF4
;
● 蝕刻流體為PF3
;
● 蝕刻流體為Si2
F6
;
● 蝕刻流體為BF3
;
● 蝕刻流體為CF3
I;
● 蝕刻流體為C2
F5
I;
● 蝕刻流體為C3
F7
I;
● 蝕刻流體為SOF4
;
● 蝕刻流體為IF5
;
● 蝕刻流體為COF2
;
● 孔口之縱橫比在約2:1至約100:1範圍內;
● 孔口之寬度在約40 nm至約2000 μm(微米(micron/micrometer))範圍內;
● 相較於氧化矽,矽蝕刻方法更選擇性地蝕刻矽;
● 相較於氮化矽,矽蝕刻方法更選擇性地蝕刻矽;
● 矽蝕刻方法不利用惰性氣體;
● 矽蝕刻方法不利用含氧氣體;且
● 含氧氣體為臭氧、氧氣、H2
O、N2
O、NO及其組合。
揭示使用循環製程在含矽基板中蝕刻孔口之方法。如上文所描述,先前技術循環製程藉由引入SF6
作為蝕刻氣體且使用cC4
F8
使聚合物沈積於孔口上來在矽基板中蝕刻孔口。本文描述用含氫聚合物沈積流體替換波希cC4
F8
之類似製程。申請者已發現,與使用cC4
F8
產生之彼等孔口相比,含氫聚合物沈積流體准許蝕刻縱橫比更高之孔口,隨著技術進展此為重要的。另外,含氫聚合物沈積流體可准許以類似速率蝕刻具有不同縱橫比之孔口。
所揭示之方法使用含F蝕刻流體在含矽基板中電漿蝕刻孔口以形成經蝕刻之含矽基板及揮發性SiFx
氣體,其中x為1至4。蝕刻流體可為SF6
、SF5
CF3
、SF4
、PF3
、Si2
F6
、BF3
、CF3
I、C2
F5
I、C3
F7
I及SOF4
、IF5
、COF2
或其組合。此等流體可購得。此等蝕刻流體自基板快速蝕刻矽。
在一個替代方案中,蝕刻流體為SF6
、SF4
、PF3
、Si2
F6
、BF3
、SOF4
、IF5
或其組合,因為此等流體不含碳原子.含碳蝕刻流體展示相比於無碳蝕刻流體更低的蝕刻速率。碳原子亦可能引起一定程度之膜沈積。
含氫聚合物沈積流體之電漿使聚合物沈積於經蝕刻之含矽基板上。含氫聚合物沈積流體具有式Cx
Hy
Fz
,其中1≤x<7、1≤y≤13且1≤z≤13。在標準溫度及壓力下,含氫聚合物沈積流體之沸點應低於約50℃。含氫聚合物沈積流體可具有環狀結構及/或包括雙鍵。環狀結構及/或雙鍵可使其更易於形成聚合物膜。較佳含氫聚合物沈積流體包括以下取代基中之至少一者:=CH2
、=CF2
、=CHF、-CH2
F、-CF2
H、>CHF或>CH2
。
例示性含氫聚合物沈積流體包括三氟乙烯、(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、2,3,3,3-四氟丙烯、(E)-1,3,3,3-四氟丙烯、1,1,3,3-四氟丙烯、(Z)-1,3,3,3-四氟丙烯、1,3,3,3-四氟丙烯、1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯、七氟環丁烷、(Z)-1,1,1,2,3,4,4-七氟-2-丁烯、(E)-1,1,1,2,3,4,4-七氟-2-丁烯、1,1,3,3,4,4,4-七氟-1-丁烯、1,1,1,2,3,4,4-七氟-2-丁烯、六氟異丁烯、順-1,1,2,2,3,4-六氟環丁烷、反-1,1,2,2,3,4-六氟環丁烷、六氟異丁烯、2,3,3,4,4,4-六氟-1-丁烯、1,1,3,4,4,4-六氟丁-2-烯、3,3,4,4,4-五氟丁烯-1、1,1,2,2,3-五氟環丁烷、1,1,4,4,4-五氟丁烯-1、1,1,2,3,3-五氟環丁烷、3,3,4,4,4-五氟-1-丁烯、2,4,4,4-四氟-1-丁烯、1,1,2,2-四氟環丁烷、3,3,4,4-四氟-1-丁烯、4,4,4-三氟-1-丁烯、1,1,2-三氟丁-1-烯、2-(三氟甲基)丙烯、1,1,二氟-2-(氟甲基)環丙烷、1,2,3-三氟環丁烷、1,1-二氟丁烯、(2E)-1,4-二氟-2-丁烯、(2E)-1,1-二氟-2-丁烯、1,1-二氟-2-甲基-1-丙烯、九氟環戊烷、1,1,2,2,3,3-六氟-4-(三氟甲基)環丁烷、(1E)-1,2,3,3,4,4,5,5,5-九氟-1-戊烯、1,1,3,3,4,4,5,5,5-九氟-1-戊烯、(2E)-1,1,1,2,3,4,4,5,5-九氟-2-戊烯、1,3,3,4,4,5,5-七氟環戊烯、1,2,3,3,4,4,5-七氟環戊烯、3,3,4,4,5,5-六氟環戊烯、六氟環戊烯、五氟(乙烯基)環丙烷、1,2,3,3,4-五氟環戊烯、3,3,4,4-四氟-1-甲基環丁烯、1,2,3-三氟-4-(三氟甲基)環丁烷、1,1,2,2,3,3-六氟環戊烷、1,1,2,2,3,3,4-七氟環戊烷、1,1,2,2,3,3,4,5-八氟環戊烷、八氟環戊烷、1,1,4,4,4-五氟-2-(三氟甲基)丁-1-烯、(E)-1,1,1,2,3,4,5,5-八氟戊-2-烯、1,2,3,4,5-五氟環戊烷及3,3,4,4-四氟-2-甲基-1-丁烯。此等化合物可購得或藉由此項技術中已知之方法合成。
在一個替代方案中,含氫聚合物沈積流體可為(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、1,1,3,4,4,4-六氟丁-2-烯、2,3,3,4,4,4-六氟-1-丁烯、1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯、順-1,1,2,2,3,4-六氟環丁烷或其組合。
在另一替代方案中,含氫聚合物沈積流體可為(Z)-1,1,1,4,4,4-六氟-2-丁烯、(E)-1,1,1,4,4,4-六氟-2-丁烯、反-1,1,2,2,3,4-六氟環丁烷、六氟異丁烯或其組合。
所揭示之含氫聚合物沈積流體以大於99.9% v/v純度,較佳大於99.99% v/v純度且更佳大於99.999% v/v純度提供。所揭示之流體含有低於0.1體積%痕量雜質,其中該等痕量雜質中含有以體積計低於150 ppm之含氮流體及含氧流體,諸如N2
及/或H2
O及/或CO2
。較佳地,流體中之水含量以重量計低於20 ppm。可藉由蒸餾及/或使氣體或液體通過適合吸附劑(諸如4A分子篩)產生經純化之產物。
在一個具體實例中,所揭示之含氫聚合物沈積流體含有低於5% v/v,較佳低於1% v/v,更佳低於0.1% v/v,且甚至更佳低於0.01% v/v之其異構體中之任一者。此具體實例可提供較佳製程重複性。此具體實例可藉由蒸餾氣體或液體產生。在替代性具體實例中,所揭示之含氫聚合物沈積流體可含有5% v/v與50% v/v之間的其異構體中之一或多者,尤其當異構體混合物提供改良之製程參數或分離目標異構體過於困難或昂貴時。舉例而言,異構體混合物可減少兩個或兩個以上通向電漿反應器之氣體管線之需要。
藉由含氫聚合物沈積流體之電漿產生之Ca
Hb
Fc
物質之總量的約50%至約100%的C:F比大於1:2,其中a=1或2,b=1或2且c=1至3。Ca
Hb
Fc
物質於經蝕刻之含矽基板上形成聚合物。當相比於藉由大物質(C3
+)形成之膜時,此等小Ca
Hb
Fc
物質可幫助形成較緻密之膜。緻密膜對蝕刻流體之蝕刻具有更大抗性,因為各單位區域含有更多原子以與蝕刻流體反應。在小Ca
Hb
Fc
物質及所得緻密膜中亦需要氫。膜中之H原子在蝕刻製程期間與蝕刻流體反應,准許C及F原子保留在聚合物膜中。
如以下實施例中所說明,QMS測試指示藉由所提出之含氫聚合物沈積流體中之一些產生的Ca
Hb
Fc
物質的大部分(亦即,約50原子%與約100原子%之間,更尤其約60原子%與約96原子%之間)的C:F比率大於1:2。C:F比大於1:2之Ca
Hb
Fc
物質可幫助形成緻密聚合物膜。藉由所提出之Ca
Hb
Fc
物質產生的緻密聚合物膜不易於自結構蝕刻。至少由於離子/物質到達較高縱橫比孔洞/通孔底部之物理困難,與於較低縱橫比結構(例如< 5:1)上相比,Ca
Hb
Fc
物質於較高縱橫比結構(例如> 15:1)上形成較薄緻密聚合物膜。如隨後的實施例中將說明,與使用當前沈積流體產生之RIE滯後或縱橫比相關蝕刻相比,藉由使用所提出之含氫聚合物沈積流體,RIE滯後或縱橫比相關蝕刻可因此相比於先前技術方法而有所降低,因為將需要更多時間來蝕刻於低縱橫比結構上產生之較厚緻密膜。因此,低縱橫比結構之蝕刻時間可能與高縱橫比結構中所產生之較薄膜之蝕刻時間類似。較佳地,Ca
Hb
Fc
物質亦包括H,其可自蝕刻流體消耗F,提供額外抗蝕刻性。
Ca
Hb
Fc
物質亦產生對矽基板具有比藉由cC4
F8
形成的聚合物弱的聚合物黏著力之聚合物,其可使得聚合物更易於自孔口移除。
如實施例中所展示,初始測試結果指示不管待蝕刻孔口之寬度或所需縱橫比,提高Cx
Hy
Fz
(其中1≤x<7、1≤y≤13且1≤z≤13)含氫聚合物沈積流體中之H量准許實現類似蝕刻速率。換言之,若孔口具有2 nm或20 nm或100 nm寬度且具有較高縱橫比(10-50),則當使用具有比標準cC4
F8
波希聚合物沈積氣體多的氫之含氫聚合物沈積流體時蝕刻速率類似。
當所揭示之含氫聚合物沈積流體准許不管孔口尺寸使蝕刻速率變得更一致時,所揭示之方法可在不使用終止層之情況下准許深度孔口矽蝕刻。除降低處理成本及與終止層沈積相關的時間外,使用所揭示之含氫聚合物沈積流體免除對終止層之需要亦可防止終止層與Si層之界面處的任何凹口。
將蝕刻流體之蒸氣引入其中含有待蝕刻基板之電漿反應腔室中。引入時間可在約0.001秒至約30秒範圍內。隨後將含氫聚合物沈積流體之蒸氣引入反應腔室中。引入時間可在約0.001秒至約30秒範圍內。蝕刻流體之引入時間始終比含氫聚合物沈積流體之引入時間長。重複電漿蝕刻及聚合物沈積步驟直至孔口具有所需縱橫比。所得孔口可具有約2:1至約100:1範圍內之縱橫比及約10 nm至約2000 μm(微米(micron/micrometer))範圍內之寬度。長度可在10 nm至450 mm範圍內。
蝕刻方法在其中安置基板之電漿反應腔室中進行。電漿反應腔室可為蝕刻方法所進行之元件內之任何殼體或腔室,該等蝕刻方法諸如(且不限於)反應性離子蝕刻(RIE)、具有單一或多個頻率RF源之雙電容耦合電漿(CCP)、感應耦合電漿-反應性離子蝕刻(ICP-RIE)、微波電漿反應器、磁性增強反應性離子蝕刻(MERIE)或能夠選擇性移除含Si層之一部分或產生活性物質的其他類型蝕刻系統。一般技術者應認識到,不同電漿反應腔室設計提供不同電子溫度控制。適合市售電漿反應腔室包括(但不限於)以商標Centura Silvia™出售之Applied Materials蝕刻器或以商標2300®
Syndion™出售之Lam Research蝕刻器產品家族。
電漿反應腔室可含有一個或一個以上基板。基板一般定義為在其上進行製程之材料。基板可為用於半導體、光伏、平板或LCD-TFT元件製造之任何適合基板。適合基板之實例包括晶圓,諸如矽、碳化矽、二氧化矽、玻璃、GaAs或GaN晶圓。舉例而言,電漿反應腔室可含有1至200個直徑為25.4 mm至450 mm之矽晶圓。由先前製造步驟,晶圓可在其上沈積有一或多個不同材料層。舉例而言,晶圓可包括矽層(結晶、非晶形、多孔等)、碳化矽層、氧化矽層、氮化矽層、氮氧化矽層、經碳摻雜之氧化矽(SiCOH)層或其組合。另外,晶圓可包括GaN層、鋁層、銅層、鎢層或貴金屬層(例如鉑、鈀銠或金)。亦可使用諸如聚(3,4-伸乙二氧基噻吩)聚(苯乙烯磺酸酯) [PEDOT:PSS]之塑膠層。該等層可為平面或經圖案化。所揭示之方法可直接於晶圓上或直接於晶圓頂部之一個或一個以上(當自基板使層圖案化時)層上蝕刻孔口。此外,一般技術者應認識到,本文所使用之術語「膜(film)」或「層(layer)」指塗抹或散佈於表面上之一些材料之厚度且該表面可為溝槽或線條。在本說明書及申請專利範圍中,晶圓及上面之任何結合層稱為基板。舉例而言,Si層可沈積於SiO2
層上。在後續處理中,TiN遮罩層可沈積於Si層之部分上,其可隨後藉由本文所揭示之方法蝕刻。
將所揭示之蝕刻流體及含氫聚合物沈積流體之氣體形式引入電漿反應腔室中。可以約0.1 sccm至約1 slm範圍內之流動速率將流體中之每一者引入該腔室中。舉例而言,對於200 mm晶圓尺寸,可以約50 sccm至約200 sccm範圍內之流動速率將流體中之每一者引入該腔室中。或者,對於450 mm晶圓尺寸,可以約100 sccm至約2000 sccm範圍內之流動速率將流體中之每一者引入該腔室中。一般技術者應認識到,流動速率將隨工具不同而不同。在一個替代方案中,將含氫聚合物沈積流體及蝕刻流體單獨引入腔室中。在另一替代方案中,含氫聚合物沈積流體連續地流入腔室中且將蝕刻流體以脈衝方式引入腔室中。
蝕刻流體及含氫聚合物沈積流體中之一些在室溫及大氣壓下為氣體。對於非氣體(亦即液體)化合物,可經由習知汽化步驟,諸如直接汽化或藉由鼓泡使化合物汽化而產生氣體形式。化合物可在引入反應器中之前以液態饋入汽化器中,在該汽化器中其進行汽化。或者,可藉由將載氣傳送至含有化合物之容器中或藉由將載氣鼓泡至化合物中使化合物汽化。載氣可包括(但不限於)Ar、He、N2
及其混合物。用載氣進行鼓泡亦可移除蝕刻氣體中所存在之任何溶解氧。隨後將載氣及化合物以蒸氣形式引入反應器中。
必要時,可將含有蝕刻流體及含氫聚合物沈積流體中之每一者之容器加熱至准許化合物具有足以遞送至蝕刻工具中之蒸氣壓的溫度。可使容器維持在例如約25℃至約100℃,較佳約25℃至約50℃範圍內之溫度下。更佳地,使容器維持在室溫(約25℃)下以避免加熱管線而蝕刻工具。熟習此項技術者認識到,可以已知方式調節容器之溫度以控制化合物之汽化量。
視所用電漿反應腔室而定,亦可將惰性氣體引入具有蝕刻流體及/或含氫聚合物沈積流體之電漿反應腔室中以提供較好離子轟擊。在其他替代方案中,不需要惰性氣體,因為產生電漿之能量及蝕刻流體及/或含氫聚合物沈積流體之流動速率足以在無惰性氣體之額外幫助之情況下維持電漿。當需要惰性氣體時,惰性氣體可為He、Ar、Xe、Kr、Ne或其組合。在引入腔室中之前,惰性氣體可與蝕刻流體或含氫聚合物沈積流體混合,其中惰性氣體佔所得混合物之約0% v/v與約95% v/v之間。或者,可將含氫聚合物沈積流體連續地引入腔室中,同時以脈衝形式將蝕刻流體及惰性氣體引入腔室中。
所揭示之蝕刻流體及含氫聚合物沈積流體藉由電漿活化以產生經活化物質。電漿將蝕刻流體及含氫聚合物沈積流體分解成自由基形式(亦即經活化之蝕刻流體或經活化之含氫聚合物沈積流體(先前稱為Ca
Hb
Fc
物質))。電漿可藉由施加RF或DC功率產生。電漿可用在約25 W至約10,000 W範圍內之RF功率產生。電漿可產生或存在於反應器本身內。電漿可以雙重CCP或ICP模式在兩個電極處施加RF產生。電漿之RF頻率可在200 KHz至1 GHz範圍內。可在同一電極處耦合且施加不同頻率之不同RF源。電漿RF脈衝可進一步用於控制基板處之分子片段及反應。熟習此項技術者應認識到適用於此類電漿處理之方法及裝置。
四極質譜儀(QMS)、光學發射光譜儀、FTIR或其他自由基/離子量測工具可量測經活化之蝕刻流體或經活化之含氫聚合物沈積流體以確定所產生之物質之類型及數量。必要時,可調節蝕刻流體、含氫聚合物沈積流體及/或惰性氣體之流動速率以增加或減少所產生之自由基物質之數量。
所揭示之蝕刻流體可在引入電漿反應腔室中之前或在電漿反應腔室內與其他氣體混合。例示性氣體包括(但不限於)諸如O2
、O3
、CO、CO2
、NO、N2
O、NO2
及其組合之氧化劑。如WO2014/070838中所揭示,含矽基板之蝕刻由含氧氣體與所揭示之含氫聚合物沈積流體之組合進行。因此,所揭示之含氫聚合物沈積
流體應不與含氧氣體混合而進行本文所揭示之波希型蝕刻方法。所揭示之蝕刻流體及氧化劑可在引入電漿反應腔室中之前混合在一起。或者,可向該腔室中連續地引入氧化劑且向該腔室中以脈衝形式引入蝕刻流體。氧化劑可佔引入腔室中之混合物之約5% v/v至約100% v/v之間(其中100% v/v表示針對連續引入替代方案之純氧化劑引入)。
在引入電漿反應腔室中之前或之後,可與蝕刻流體及/或含氫聚合物沈積流體混合之其他例示性氣體包括額外蝕刻氣體,諸如cC4
F8
、C4
F6
、CF4
、CHF3
、CF3
H、CH2
F2
、COS、CS2
、CF3
I、C2
F3
I、C2
F5
I及SO2
。額外蝕刻氣體可佔引入腔室中之混合物之約1% v/v至約99.9% v/v之間。
含Si層及經活化之蝕刻流體反應形成揮發性副產物,將其自電漿反應腔室移除。相較於SiO層、SiN層、非晶碳遮罩、抗反射塗層及光阻層,所揭示之蝕刻方法更選擇性地蝕刻矽層。
使電漿反應腔室內之溫度及壓力保持在適用於含矽層與經活化之蝕刻流體反應之條件下。舉例而言,視蝕刻參數所需,可使腔室中之壓力保持在約0.1毫托與約1000托之間,較佳在約1毫托與約10托之間,更佳在約10毫托與約1托之間,且更佳在約10毫托與約100毫托之間。類似地,腔室中之基板溫度可在約-196℃至約500℃之間,較佳在-120℃至約300℃之間,且更佳在5℃至約30℃之間的範圍內。腔室壁溫度可視製程要求而在約-196℃至約300℃範圍內,較佳在100℃至250℃之間。
含Si層與經活化之蝕刻流體之間的反應使得可自基板各向異性移除含Si層。氮原子、氧原子及/或碳原子亦可存在於含Si層中。移除歸因於自電漿離子物理濺鍍含Si層(藉由電漿加速)及/或藉由電漿物質之化學反應將Si轉化成揮發性物質,諸如SiFx
,其中x在1至4範圍內。
在一種非限制性例示性電漿蝕刻製程中,使用受控制的氣流元件將SF6
及反-1,1,2,2,3,4-六氟環丁烷獨立地引入200 mm ICP-RIE電漿蝕刻工具中。受控制的氣流元件可為質量流量控制器。電漿反應腔室含有在0.4 mm Si層上具有5 μm光阻遮罩之基板。使基板溫度保持在20℃下。設定電漿反應腔室之壓力為約45毫巴。當此化合物之沸點為約27℃時,將反-1,1,2,2,3,4-六氟環丁烷源加熱至40℃至50℃之間以保持較高蒸氣壓。保持基板與電漿源之距離為10 cm且頂部電極RF功率固定在1800 W。在SF6
蝕刻製程期間,底部電極之低頻RF功率設定為100 W,其中接通10 ms且關閉90 ms。以300 sccm將SF6
引入腔室中歷時7秒作為蝕刻步驟以蝕刻矽層。在反-1,1,2,2,3,4-六氟環丁烷聚合物沈積製程期間,底部電極之低頻RF功率設定為0 W。以150 sccm將反-1,1,2,2,3,4-六氟環丁烷引入腔室中歷時2秒作為聚合物形成步驟。重複該循環,其中後續SF6
循環移除由反-1,1,2,2,3,4-六氟環丁烷形成之底部聚合物膜以及Si層。
製程揭示產生較小物質之含氫聚合物沈積流體能夠在深度蝕刻孔口中形成聚合物,准許蝕刻未來一代之TSV、MEMS及功率元件。沈積之聚合物亦可比藉由cC4
F8
所產生容易地自孔口移除。
所揭示之方法亦可減少製造TSV、MEMS及功率元件期間深度矽蝕刻中之RIE滯後(或消除不同開口遮罩之蝕刻速率方面之差異)。因此,可在不需要對各元件進行複雜製程參數最佳化之情況下使用含氫聚合物沈積流體。實施例
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有情形且並不意欲限制本文所描述之發明的範疇。
使用類似於圖 1
中所展示之元件的反應性離子蝕刻器(RIE)或ICP-RIE蝕刻器進行以下測試。
實施例1
使用SF6
及3種不同聚合物形成流體(cC4
F8
、C4
HF7
及C4
H2
F6
)產生六個深溝槽,三個寬度為2微米且三個寬度為20微米。ICP功率為1800 W,偏壓低頻功率為100 W,其中10 ms接通且90 ms關閉,壓力為45毫巴,SF6
之流動速率為300 sccm持續7秒,且聚合物形成流體之流動速率為150 sccm持續2秒,基板溫度為20℃且總製程時間為10分鐘。結果之掃描電子顯微鏡(SEM)相片展示於圖 2
中。
圖 3
展示針對各寬度,四種C4
Hy
Fz
流體組合(亦即SF6
/cC4
F8
、SF6
/C4
HF7
、SF6
/c-C4
H2
F6
、SF6
/lin-C4
H2
F6
)之不同蝕刻速率。SF6
/C4
Hy
Fz
意謂SF6
用於蝕刻步驟中且C4
Hy
Fz
(其中y=0、1或2;z=6、7或8;且y+z=8)用於聚合物沈積步驟。如可見,小(2微米)溝槽與大(20微米)溝槽之間的蝕刻速率差異隨著分子之氫含量提高而減小。
圖 4
展示針對各寬度,三種C3
Hy
Fz
流體組合(亦即SF6
/C3
F6
、SF6
/C3
H2
F4
、SF6
/C3
HF5
)之不同蝕刻速率。SF6
/C3
Hy
Fz
(其中y=0、1或2;z=4、5或6;且y+z=6)意謂SF6
用於蝕刻步驟且C3
Hy
Fz
用於聚合物沈積步驟。如可見,小(2微米)溝槽與大(20微米)溝槽之間的蝕刻速率差異隨著分子之氫含量提高而減小,尤其對於含有=CH2
之分子而言。
實施例2
聚合物沈積及蝕刻速率評估如下:
(1)使用循環波希方法藉由使用SF6
蝕刻且用cC4
F8
沈積聚合物來產生均勻的溝槽,從而產生具有相同深度之溝槽。用於產生溝槽之參數為:ICP功率為1800 W,偏壓低頻功率為100 W,其中接通10 ms且關閉90 ms,壓力為45毫巴,SF6
之流動速率為300 sccm持續7秒,且cC4
F8
之流動速率為150 sccm持續2秒,基板之溫度保持在20℃下,總製程時間為10分鐘。
(2)藉由將各流體(cC4
F8
、C3
F6
、C3
H2
F4
、C3
HF5
、C4
HF7
、C4
H2
F6
或cC4
H2
F6
)之電漿引入溝槽中來沈積聚合物且藉由SEM量測聚合物厚度。對於各流體而言,沈積條件相同:ICP功率為1800 W,未施加偏壓功率,壓力為45毫巴,各流體之流動速率為150 sccm持續3分鐘,基板之溫度保持在20℃下。
(3)在相同條件下藉由SF6
蝕刻沈積之聚合物膜且藉由SEM量測厚度。在蝕刻之前及之後的厚度差異為聚合物抗蝕刻性。蝕刻參數為:ICP功率為1800 W,偏壓低頻功率為100 W,其中接通10 ms且關閉90 ms,壓力為45毫巴,SF6
之流動速率為300 sccm持續1分鐘,基板之溫度保持在20℃下。
在溝槽之不同位置處量測步驟(2)及(3)中之聚合物膜之厚度,該等位置為溝槽頂部表面、溝槽底部、溝槽側壁頂部、溝槽側壁中部及溝槽側壁底部,如圖 5
中所展示。圖 6a
展示步驟2中所獲得之聚合物沈積速率。圖 6b
展示步驟3中所獲得之圖 6a
中沈積之聚合物膜之聚合物抗蝕刻性。圖 7
展示藉由X射線光電子光譜(XPS)獲得之聚合物組成物分析。於基板之頂部表面上分析聚合物膜,因為XPS難以在較窄溝槽中進行分析。較大量C-CFx
(x=1至3)意謂該膜具有較高碳濃度,其在幾何上經更緻密地填充,且亦難以由SF6
蝕刻。預期此類緻密填充膜展示較高SF6
抗蝕刻性。
實施例3
圖 8
展示各流體之四極質譜儀(Q-MS)分析。藉由使流體流入Q-MS分析器腔室中來進行量測,其中施加70 eV之電離能。C4
HF7
、c-C4
H2
F6
、lin-C4
H2
F6
及C3
HF5
含有大量高C:F比物質(此處高C:F比意謂C:F≥1:2,例如當F=2時,C>1),此等物質對於形成展示較高抗蝕刻性之緻密聚合物膜很重要。由於實施例2中所獲得之不良結果,未對C3
H2
F4
進行Q-MS。
實施例4
評估聚合物膜對空白矽晶圓之黏著力。ASTM D3359膠帶剝離測試用作評估標準。3M牌610膠帶用於剝離測試。以1 mm × 1 mm橫切聚合物膜。在藉由膠帶剝離之後,藉由光學顯微鏡影像評估移除之聚合物之區域。圖 9
展示剝離測試之前及之後的聚合物膜之光學顯微鏡影像。藉由攝影機獲取底線中之圖片以展示低放大率影像。黏著力隨著具有相同碳數之氫含量提高而降低。
實施例5
除2微米至20微米之溝槽尺寸範圍外,亦測試高達2毫米之較大溝槽尺寸。RIE滯後減少趨勢與由2微米至20微米觀測到之趨勢相同。
儘管已展示且描述本發明之具體實例,但熟習此項技術者可在不脫離本發明之精神或教示之情況下對其進行修改。本文所描述之具體實例僅為例示性且不具限制性。組成物及方法可進行許多變化及修改且其在本發明之範疇內。因此,保護範疇不限於本文所描述之具體實例,而僅受隨後之申請專利範圍限制,該範疇應包括申請專利範圍之標的物之所有等效物。
無
為進一步瞭解本發明之性質及目的,應結合隨附圖式參考以下實施方式,其中類似要素給出相同或相似參考數字且其中:圖 1
為用於進行孔口蝕刻之例示性裝置之示意圖;圖 2
為依序藉由SF6
及指定含氫聚合物沈積流體蝕刻之孔口(具有2 μm或20 μm之不同寬度)之掃描電子顯微鏡(SEM)影像。各影像中之箭頭指示孔口高度。對於各實驗而言,蝕刻時間為10分鐘;圖 3
為說明依序藉由使用SF6
及四種指定C4
Hy
Fz
(其中y為0至2且z為8至6)含氫聚合物沈積流體之不同孔口之波希蝕刻速率的圖;圖 4
為說明依序藉由使用SF6
及三種指定C3
Hy
Fz
(其中y為0至2且z為6至4)含氫聚合物沈積流體之不同孔口之波希蝕刻速率的圖;圖 5
為用於評估聚合物沈積速率及抗蝕刻性之孔口之透視圖;圖 6a
為圖 5
之預先產生之孔口中之聚合物膜之沈積速率的圖;圖 6b
為圖 6a
中沈積之聚合物膜之抗蝕刻性的圖。使用SF6
作為蝕刻氣體測定抗蝕刻性;圖 7
為圖 6a
中之沈積及圖 6b
中之蝕刻後的聚合物膜之組成物的XPS圖;圖 8
為在70eV電離能下提供八種Cx
Hy
Fz
(其中x為3或4,y為0至2且z為8至5)含氫聚合物沈積流體之Q-MS分析結果的表格;且圖 9
為沈積於基板上之聚合物膜之剝離測試結果的圖。剝離測試藉由ASTM D3359進行。
Claims (15)
- 一種以類似速率蝕刻具有不同縱橫比之孔口之方法,該方法包含下列步驟: a. 用含氟蝕刻流體電漿蝕刻含矽基板以形成經蝕刻之含矽基板,該含矽基板包括具有一寬度的孔口及具有不同寬度的孔口; b. 使聚合物膜沈積於該經蝕刻之含矽基板上,其係藉由電漿處理不飽和含氫聚合物沈積流體來產生Ca Hb Fc 物質,其總量之約50%至約100%的C:F比大於1:2,其中a=1或2,b=1或2且c=1至3;及 c. 重複步驟a及步驟b以產生經蝕刻且經聚合物沈積之含矽基板上,其具有高縱橫比的孔口及具有低縱橫比的孔口。
- 如申請專利範圍第1項之方法,其中該Ca Hb Fc 物質於具有高縱橫比的孔口相較於具有低縱橫比的孔口上形成較薄緻密聚合物膜。
- 如申請專利範圍第1項之方法,其中具有高縱橫比的孔口相較於具有低縱橫比的孔口可具有約2:1至約100:1範圍內之縱橫比。
- 如申請專利範圍第3項之方法,其中該具有高縱橫比的孔口具有>15:1的縱橫比。
- 如申請專利範圍第3項之方法,其中該具有低縱橫比的孔口具有< 5:1的縱橫比。
- 如申請專利範圍第1項之方法,其中該含氫聚合物沈積流體選自由以下組成之群:(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、1,1,3,4,4,4-六氟丁-2-烯;2,3,3,4,4,4-六氟-1-丁烯;1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯及順-1,1,2,2,3,4-六氟環丁烷。
- 如申請專利範圍第1項之方法,其中該含氫聚合物沈積流體選自由以下組成之群:(Z)-1,1,1,4,4,4-六氟-2-丁烯;(E)-1,1,1,4,4,4-六氟-2-丁烯;反-1,1,2,2,3,4-六氟環丁烷及六氟異丁烯。
- 如申請專利範圍第1項之方法,其中該含矽基板為矽或碳化矽。
- 如申請專利範圍第1項之方法,其中該蝕刻流體選自由以下組成之群:SF6 、SF5 CF3 、SF4 、PF3 、Si2 F6 、BF3 、CF3 I、C2 F5 I、C3 F7 I、SOF4 、IF5 及COF2 。
- 如申請專利範圍第9項之方法,其中該蝕刻流體選自由以下組成之群:SF6 、SF4 、PF3 、Si2 F6 、BF3 、SOF4 及IF5 。
- 如申請專利範圍第1項之方法,其中該具有高縱橫比的孔口相較於具有低縱橫比的孔口之寬度在約40 nm至約2000 μm(微米(micron/micrometer))範圍內。
- 如申請專利範圍第1項之方法,其中相較於氧化矽,該電漿蝕刻方法更選擇性地蝕刻矽。
- 如申請專利範圍第1項之方法,其中相較於氮化矽,該電漿蝕刻方法更選擇性地蝕刻矽。
- 如申請專利範圍第1項之方法,其中不利用惰性氣體。
- 如申請專利範圍第1項之方法,其中不利用含氧氣體。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462013959P | 2014-06-18 | 2014-06-18 | |
US62/013,959 | 2014-06-18 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201929071A TW201929071A (zh) | 2019-07-16 |
TWI695423B true TWI695423B (zh) | 2020-06-01 |
Family
ID=54935182
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104119063A TWI658509B (zh) | 2014-06-18 | 2015-06-12 | 用於tsv/mems/功率元件蝕刻的化學物質 |
TW108109359A TWI695423B (zh) | 2014-06-18 | 2015-06-12 | 用於tsv/mems/功率元件蝕刻的化學物質 |
TW109114840A TWI733431B (zh) | 2014-06-18 | 2015-06-12 | 用於tsv/mems/功率元件蝕刻的化學物質 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104119063A TWI658509B (zh) | 2014-06-18 | 2015-06-12 | 用於tsv/mems/功率元件蝕刻的化學物質 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109114840A TWI733431B (zh) | 2014-06-18 | 2015-06-12 | 用於tsv/mems/功率元件蝕刻的化學物質 |
Country Status (8)
Country | Link |
---|---|
US (3) | US9892932B2 (zh) |
EP (1) | EP3158579A4 (zh) |
JP (1) | JP6485972B2 (zh) |
KR (3) | KR102679289B1 (zh) |
CN (2) | CN106663624B (zh) |
SG (1) | SG11201610342YA (zh) |
TW (3) | TWI658509B (zh) |
WO (1) | WO2015194178A1 (zh) |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI658509B (zh) * | 2014-06-18 | 2019-05-01 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | 用於tsv/mems/功率元件蝕刻的化學物質 |
JP6960400B2 (ja) | 2015-11-10 | 2021-11-05 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | エッチング反応物質、およびそれを使用するプラズマフリーの酸化物エッチング方法 |
JP6587580B2 (ja) * | 2016-06-10 | 2019-10-09 | 東京エレクトロン株式会社 | エッチング処理方法 |
WO2018186364A1 (ja) * | 2017-04-06 | 2018-10-11 | 関東電化工業株式会社 | ドライエッチングガス組成物及びドライエッチング方法 |
KR102594444B1 (ko) * | 2017-06-08 | 2023-10-25 | 도쿄엘렉트론가부시키가이샤 | 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법 |
TWI757545B (zh) * | 2017-09-15 | 2022-03-11 | 日商關東電化工業股份有限公司 | 使用酸鹵化物之原子層蝕刻 |
US10607999B2 (en) * | 2017-11-03 | 2020-03-31 | Varian Semiconductor Equipment Associates, Inc. | Techniques and structure for forming dynamic random access device |
KR102504833B1 (ko) * | 2017-11-16 | 2023-03-02 | 삼성전자 주식회사 | 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법 |
JP7145031B2 (ja) * | 2017-12-25 | 2022-09-30 | 東京エレクトロン株式会社 | 基板を処理する方法、プラズマ処理装置、及び基板処理装置 |
KR102450580B1 (ko) | 2017-12-22 | 2022-10-07 | 삼성전자주식회사 | 금속 배선 하부의 절연층 구조를 갖는 반도체 장치 |
CN110010464B (zh) * | 2017-12-25 | 2023-07-14 | 东京毅力科创株式会社 | 处理基板的方法 |
JP6874778B2 (ja) * | 2019-01-09 | 2021-05-19 | ダイキン工業株式会社 | シクロブタンの製造方法 |
JP6959999B2 (ja) * | 2019-04-19 | 2021-11-05 | 株式会社日立ハイテク | プラズマ処理方法 |
US11456180B2 (en) | 2019-11-08 | 2022-09-27 | Tokyo Electron Limited | Etching method |
CN112786441A (zh) | 2019-11-08 | 2021-05-11 | 东京毅力科创株式会社 | 蚀刻方法及等离子体处理装置 |
WO2021090798A1 (ja) * | 2019-11-08 | 2021-05-14 | 東京エレクトロン株式会社 | エッチング方法 |
SG10202010798QA (en) * | 2019-11-08 | 2021-06-29 | Tokyo Electron Ltd | Etching method and plasma processing apparatus |
KR102389081B1 (ko) * | 2020-04-06 | 2022-04-20 | 아주대학교산학협력단 | PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법 |
KR102388963B1 (ko) * | 2020-05-07 | 2022-04-20 | 아주대학교산학협력단 | 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법 |
KR102244862B1 (ko) * | 2020-08-04 | 2021-04-27 | (주)원익머트리얼즈 | 식각 가스 혼합물과 이를 이용한 패턴 형성 방법 |
US20230230810A1 (en) * | 2020-10-05 | 2023-07-20 | Spp Technologies Co., Ltd. | Plasma processing gas, plasma processing method, and plasma processing apparatus |
JPWO2022080271A1 (zh) * | 2020-10-15 | 2022-04-21 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130105947A1 (en) * | 2011-10-26 | 2013-05-02 | Zeon Corporation | High aspect ratio and reduced undercut trench etch process for a semiconductor substrate |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03154337A (ja) * | 1989-11-13 | 1991-07-02 | Hitachi Ltd | 半導体装置の製造方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
DE19736370C2 (de) | 1997-08-21 | 2001-12-06 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silizium |
US6074959A (en) * | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
DE19826382C2 (de) | 1998-06-12 | 2002-02-07 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
US6284666B1 (en) | 2000-05-31 | 2001-09-04 | International Business Machines Corporation | Method of reducing RIE lag for deep trench silicon etching |
US6569774B1 (en) | 2000-08-31 | 2003-05-27 | Micron Technology, Inc. | Method to eliminate striations and surface roughness caused by dry etch |
JP2002110647A (ja) * | 2000-09-29 | 2002-04-12 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
US6746961B2 (en) * | 2001-06-19 | 2004-06-08 | Lam Research Corporation | Plasma etching of dielectric layer with etch profile control |
US6900136B2 (en) | 2002-03-08 | 2005-05-31 | Industrial Technology Research Institute | Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US7453150B1 (en) * | 2004-04-01 | 2008-11-18 | Rensselaer Polytechnic Institute | Three-dimensional face-to-face integration assembly |
WO2005112092A2 (en) | 2004-05-11 | 2005-11-24 | Applied Materials, Inc. | CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY |
US7755197B2 (en) * | 2006-02-10 | 2010-07-13 | Macronix International Co., Ltd. | UV blocking and crack protecting passivation layer |
JP2008270348A (ja) * | 2007-04-17 | 2008-11-06 | Seiko Epson Corp | ドライエッチング装置及び被加工物の加工方法 |
CN100468664C (zh) * | 2007-05-18 | 2009-03-11 | 西安交通大学 | 氧化锌紫外焦平面成像阵列制作工艺中的化学刻蚀方法 |
US20090068767A1 (en) | 2007-09-12 | 2009-03-12 | Lam Research Corporation | Tuning via facet with minimal rie lag |
JP5192214B2 (ja) * | 2007-11-02 | 2013-05-08 | 東京エレクトロン株式会社 | ガス供給装置、基板処理装置および基板処理方法 |
US8614151B2 (en) * | 2008-01-04 | 2013-12-24 | Micron Technology, Inc. | Method of etching a high aspect ratio contact |
JP4978512B2 (ja) * | 2008-02-29 | 2012-07-18 | 日本ゼオン株式会社 | プラズマエッチング方法 |
CA2752263A1 (en) * | 2009-03-06 | 2010-09-10 | Solvay Fluor Gmbh | Use of unsaturated hydrofluorocarbons |
EP2511948A4 (en) * | 2010-02-01 | 2014-07-02 | Central Glass Co Ltd | DRY ETCHING AGENT AND DRY ETCHING METHOD USING THE SAME |
US8574447B2 (en) * | 2010-03-31 | 2013-11-05 | Lam Research Corporation | Inorganic rapid alternating process for silicon etch |
JP2013030531A (ja) * | 2011-07-27 | 2013-02-07 | Central Glass Co Ltd | ドライエッチング剤 |
US8808563B2 (en) * | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
TWI588240B (zh) | 2012-10-30 | 2017-06-21 | 液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用於高縱橫比氧化物蝕刻之氟碳分子 |
CN103824767B (zh) | 2012-11-16 | 2017-05-17 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
JP6017936B2 (ja) * | 2012-11-27 | 2016-11-02 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
KR102275996B1 (ko) | 2013-03-28 | 2021-07-14 | 더 케무어스 컴퍼니 에프씨, 엘엘씨 | 하이드로플루오로올레핀 식각 가스 혼합물 |
TWI642809B (zh) | 2013-09-09 | 2018-12-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用蝕刻氣體蝕刻半導體結構的方法 |
WO2015053339A1 (ja) | 2013-10-09 | 2015-04-16 | 旭硝子株式会社 | 2,3,3,3-テトラフルオロプロペンの精製方法 |
TWI658509B (zh) * | 2014-06-18 | 2019-05-01 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | 用於tsv/mems/功率元件蝕刻的化學物質 |
-
2015
- 2015-06-12 TW TW104119063A patent/TWI658509B/zh active
- 2015-06-12 TW TW108109359A patent/TWI695423B/zh active
- 2015-06-12 TW TW109114840A patent/TWI733431B/zh active
- 2015-06-17 JP JP2016571169A patent/JP6485972B2/ja active Active
- 2015-06-17 EP EP15808907.8A patent/EP3158579A4/en not_active Withdrawn
- 2015-06-17 KR KR1020237017666A patent/KR102679289B1/ko active IP Right Grant
- 2015-06-17 CN CN201580031726.4A patent/CN106663624B/zh active Active
- 2015-06-17 CN CN202010698443.8A patent/CN111816559B/zh active Active
- 2015-06-17 KR KR1020177000840A patent/KR102444697B1/ko active IP Right Grant
- 2015-06-17 US US15/316,932 patent/US9892932B2/en active Active
- 2015-06-17 WO PCT/JP2015/003044 patent/WO2015194178A1/en active Application Filing
- 2015-06-17 KR KR1020227030041A patent/KR102539241B1/ko active IP Right Grant
- 2015-06-17 SG SG11201610342YA patent/SG11201610342YA/en unknown
-
2017
- 2017-09-08 US US15/699,668 patent/US10103031B2/en active Active
-
2018
- 2018-08-28 US US16/114,371 patent/US10720335B2/en active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130105947A1 (en) * | 2011-10-26 | 2013-05-02 | Zeon Corporation | High aspect ratio and reduced undercut trench etch process for a semiconductor substrate |
Also Published As
Publication number | Publication date |
---|---|
SG11201610342YA (en) | 2017-01-27 |
KR102444697B1 (ko) | 2022-09-16 |
US9892932B2 (en) | 2018-02-13 |
JP2017518645A (ja) | 2017-07-06 |
TW201606867A (zh) | 2016-02-16 |
US20180076046A1 (en) | 2018-03-15 |
TWI658509B (zh) | 2019-05-01 |
CN106663624A (zh) | 2017-05-10 |
JP6485972B2 (ja) | 2019-03-20 |
TW202030312A (zh) | 2020-08-16 |
US10103031B2 (en) | 2018-10-16 |
KR102679289B1 (ko) | 2024-06-27 |
TWI733431B (zh) | 2021-07-11 |
US20170103901A1 (en) | 2017-04-13 |
CN111816559B (zh) | 2024-06-11 |
KR20230079491A (ko) | 2023-06-07 |
EP3158579A4 (en) | 2018-02-21 |
US20180366336A1 (en) | 2018-12-20 |
KR20220124825A (ko) | 2022-09-14 |
CN106663624B (zh) | 2020-08-14 |
US10720335B2 (en) | 2020-07-21 |
CN111816559A (zh) | 2020-10-23 |
EP3158579A1 (en) | 2017-04-26 |
WO2015194178A1 (en) | 2015-12-23 |
KR20170020434A (ko) | 2017-02-22 |
KR102539241B1 (ko) | 2023-06-01 |
TW201929071A (zh) | 2019-07-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI695423B (zh) | 用於tsv/mems/功率元件蝕刻的化學物質 | |
JP6811284B2 (ja) | 3d nandフラッシュメモリの製造方法 | |
JP7470834B2 (ja) | 半導体構造エッチング用ヨウ素含有化合物 | |
CN107924842B (zh) | 用于蚀刻半导体结构的含氮化合物 | |
TW202204297A (zh) | 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物 |