CN111816559A - 用于tsv/mems/功率器件蚀刻的化学物质 - Google Patents

用于tsv/mems/功率器件蚀刻的化学物质 Download PDF

Info

Publication number
CN111816559A
CN111816559A CN202010698443.8A CN202010698443A CN111816559A CN 111816559 A CN111816559 A CN 111816559A CN 202010698443 A CN202010698443 A CN 202010698443A CN 111816559 A CN111816559 A CN 111816559A
Authority
CN
China
Prior art keywords
silicon
etching
fluid
hydrogen
aspect ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010698443.8A
Other languages
English (en)
Inventor
沈鹏
克里斯汀·杜斯拉特
柯蒂斯·安德森
拉胡尔·古普塔
文森特·M·欧马杰
南森·斯塔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN111816559A publication Critical patent/CN111816559A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明涉及一种以类似速率蚀刻具有不同纵横比的孔的方法,该方法包括:a.用含氟蚀刻流体等离子体蚀刻含硅基板,形成经蚀刻的含硅基板,该经蚀刻的含硅基板包括具有一种宽度的孔和具有不相同的宽度的孔;b.通过等离子体处理不饱和含氢聚合物沉积流体来产生CaHbFc物种,这些物种的总量的大约50%至大约100%具有大于1:2的C:F比率,其中a=1或2,b=1或2且c=1至3,从而在所述经蚀刻的含硅基板上沉积聚合物膜;c.重复步骤a和b以产生经蚀刻和聚合物沉积的含硅基板,其包括具有高纵横比的孔和具有低纵横比的孔。

Description

用于TSV/MEMS/功率器件蚀刻的化学物质
本申请是申请号为201580031726.4的发明专利申请的分案申请,原申请的申请日为2015年6月17日,发明名称为“用于TSV/MEMS/功率器件蚀刻的化学物质”。
技术领域
本申请要求2014年6月18日提交的美国临时申请序列号62/013,959的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
披露了波希(Bosch)蚀刻工艺中的cC4F8钝化气体的替代化学物质及使用这些化学物质的工艺。这些化学物质具有式CxHyFz,其中1≤x<7,1≤y≤13,且1≤z≤13并且优选2≤x<4且1≤y≤2。这些替代化学物质减少反应性离子蚀刻滞后(RIE滞后)或纵横比相关蚀刻(ARDE)。
背景技术
深度硅蚀刻对于3D集成电路(3D-IC)设计、微电子机械系统(MEMS)以及功率器件制造是重要的。对于3D-IC,多个芯片(器件)将被垂直堆叠以实现“最小尺寸”的器件。深度蚀刻目的是产生孔洞/通孔接着填充导电金属以实现叠层之间的连通(也称为硅通孔)。对于MEMS,不同种类的传感器具有高纵横比的不同结构。功率器件诸如超结二极管也需要深度沟槽蚀刻。
深度反应性离子蚀刻(DRIE)用于形成此类高纵横比结构(通常>15:1将是未来技术所需的)。工业上已采用可切换波希工艺,该工艺循环供应蚀刻气体(SF6)接着侧壁钝化气体(cC4F8)(参见例如美国专利号5,501,893、6,531,068和6,284,148)。此工艺提供高选择性和高蚀刻速率。然而,由于离子/物种到达未来技术(诸如3D-IC和MEMS)中所利用的高纵横比孔洞/通孔底部的物理困难,在具有不同纵横比的结构之间观察到不均匀的蚀刻速率(也称为RIE滞后或纵横比相关蚀刻)。JVST A 24,1283,2006。较小尺寸开口也使得深度蚀刻更加困难。Owen等人,IEEE MEMS 2012。换言之,纵横比越高且孔洞越窄,使用波希工艺的蚀刻速率越慢。波希工艺的另一个缺点是蚀刻后难以移除聚合物残余物。韩国物理学会杂志(Journal of the Korean Physical Society),49(2006)1991-1997。
在当前工业应用中,尤其是MEMS,可在硅层的底部提供蚀刻终止层以用物理方式达到用于不同开口尺寸的相同蚀刻深度。JVST A 24,1283,2006。
另一解决方案调整蚀刻参数。拉姆研究公司(LAM Research Corp)的WO 2009/036053披露了在波希工艺中改变基板偏压作为RIE滞后的解决方案。WO 2009/036053还在波希工艺中用NF3或CHF3替代SF6且用CF4替代cC4F8
Fuller等人的US 2013/105947披露了在各向异性蚀刻工艺中用作聚合物沉积气体的氢氟碳气体,该工艺在使用蚀刻剂气体与聚合物沉积气体之间交替以在半导体基板中蚀刻深沟槽。
与本申请具有相同受让人的WO 2014/070838披露了用于在基板上的含Si层中等离子体蚀刻通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等的蚀刻流体。
杜邦(DuPont)的WO 2014/160910披露了对于移除CVD腔室中的表面沉积物有用的氢氟烯烃(hydrofluorolefin)组合物。
与本申请具有相同受让人的WO 2015/035381披露了用于在含Si层和掩模材料中等离子体蚀刻通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等的含硫化合物。
Trapp(Micron)的US 6569774披露了一种用于使用CxHyFz蚀刻气体通过氧化硅层形成高纵横比接触开口的等离子体蚀刻工艺,其中x是1至2,y是0至3且z是2x-y+2,或x是3至6,y是0至3且z是2x-y。
苏威氟化学有限公司(Solvay Fluor GmbH)的WO 2010/100254披露了某些氢氟烯烃用于多种工艺的用途,包括作为用于半导体蚀刻或腔室清洁的蚀刻流体。这些氢氟烯烃可包括选自以下组a)和b)中的每一者的至少一种化合物的混合物:
a)(Z)-1,1,1,3-四氟丁-2-烯、(E)-1,1,1,3-四氟丁-2-烯或2,4,4,4-四氟丁-1-烯,以及
b)1,1,1,4,4,4-六氟丁-2-烯、1,1,2,3,4,4-六氟丁-2-烯、1,1,1,3,4,4-六氟丁-2-烯以及1,1,1,2,4,4-六氟丁-2-烯。
仍需要波希型蚀刻工艺以形成高纵横比孔。
<标记和命名>
特定缩写、符号以及术语贯穿以下说明书和权利要求书使用,并且包括:
如在此所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如在此所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如在此所使用,术语“蚀刻(etch或etching)”指的是一种等离子体蚀刻工艺(即,干式蚀刻工艺),其中离子轰击使垂直方向上的化学反应加速,使得沿着掩蔽特征的边缘以与基板成直角形成垂直侧壁(Manos和Flamm,等离子体蚀刻导论(Plasma Etching AnIntroduction),学术出版社公司(Academic Press,Inc.),1989,第12-13页)。该蚀刻工艺在基板中产生孔,诸如通孔、沟槽、通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等。该孔具有定义为高度与宽度的比率的纵横比(20:1纵横比具有20x高度和1x宽度,其中x≥1,优选1≤x≤5(参见图5))。
术语“图案蚀刻(pattern etch)”或“图案化蚀刻(patterned etch)”指的是例如通过在含金属层和/或含硅层的叠层上放置图案化掩模层并且在未被该掩模覆盖的区域中蚀刻通孔或沟槽或类似物来蚀刻非平面结构。术语“掩模(mask)”指的是抵抗蚀刻的层。该掩模层可位于待蚀刻的层的上方或下方(蚀刻终止层)。该掩模层可以是硬质掩模,诸如TiN或TaN;或软质掩模,诸如聚合物或其他有机“软质”抗蚀材料。“牺牲掩模”材料是用于使基板图案化并且随后移除的材料。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(se1ective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
如在此所使用,“-C”、“=C”和“>C”指的是该C如何键合至其余结构,其中“-”为单键、“=”为双键且“>”为环结构。例如,“>CHF”可以指的是cC4H5F3,其中C4环状结构的至少一个C具有H和F取代基。
在此使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,S指的是硫,Si指的是硅,H指的是氢等)。
请注意,含Si膜,诸如SiN和SiO,列于本说明书和权利要求书中,而不提及其恰当的化学计量学。含硅层可包括纯硅(Si)层,诸如结晶Si、多晶硅(polySi或多晶Si),或非晶硅;碳化硅(SioCp)层;氮化硅(SikNl)层;氧化硅(SinOm)层;或其混合物,其中k、l、m、n、o以及p(包括在内)在从1至6的范围内。优选地,氮化硅为SikNl,其中k和l各自在从0.5至1.5的范围内。更优选地,氮化硅为Si1N1。优选地,氧化硅为SinOm,其中n在从0.5至1.5的范围内并且m在从1.5至3.5的范围内。更优选地,氧化硅为SiO2或SiO3。该含硅层可为硅。可替代地,该含硅层可为基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(Applied Materials,Inc.)的Black Diamond II或III材料。该含硅层还可包括掺杂剂,诸如B、C、P、As和/或Ge。
发明内容
披露了使用循环工艺在含硅基板中蚀刻孔的方法。通过含氟蚀刻流体等离子体蚀刻含硅基板以形成经蚀刻的含硅基板。通过含氢聚合物沉积流体的等离子体在该经蚀刻的含硅基板上沉积聚合物。重复循环等离子体蚀刻和聚合物沉积步骤。
还披露了使用循环工艺在含硅基板中蚀刻孔的方法。再次,用含氟蚀刻流体等离子体蚀刻含硅基板以形成经蚀刻的含硅基板。等离子体处理含氢聚合物沉积流体产生CaHbFc物种,其中a=1或2,b=1或2且c=1至3,其中物种的总量的大约50%至大约100%具有大于1:2的C:F比。该CaHbFc物种在该经蚀刻的含硅基板上沉积聚合物。重复这些等离子体蚀刻、等离子体处理和聚合物沉积步骤。
所披露的方法中的任一种可包括以下方面中的一项或多项:
-该含硅基板为硅;
-该含氢聚合物沉积流体具有式CxHyFz,其中1≤x<7,1≤y≤13且1≤z≤13;
-该含氢聚合物沉积流体含有选自下组的以下配体中的至少一种,该组由以下各项组成:=CH2、=CF2、=CFH、-CF2H、CH2F、>CHF、以及>CH2
-该含氢聚合物沉积流体是三氟乙烯;
-该含氢聚合物沉积流体是(Z)-1,2,3,3,3-五氟丙烯;
-该含氢聚合物沉积流体是1,1,2,3,3-五氟丙烯;
-该含氢聚合物沉积流体是1,1,3,3,3-五氟丙烯;
-该含氢聚合物沉积流体是1,2,3,3,3-五氟丙烯;
-该含氢聚合物沉积流体是(E)-1,2,3,3,3-五氟丙烯;
-该含氢聚合物沉积流体是2,3,3,3-四氟丙烯;
-该含氢聚合物沉积流体是(E)-1,3,3,3-四氟丙烯;
-该含氢聚合物沉积流体是1,1,3,3-四氟丙烯;
-该含氢聚合物沉积流体是(Z)-1,3,3,3-四氟丙烯;
-该含氢聚合物沉积流体是1,3,3,3-四氟丙烯;
-该含氢聚合物沉积流体是1,1,2,3,3,4,4-七氟丁-1-烯;
-该含氢聚合物沉积流体是1,1,1,2,4,4,4-七氟-2-丁烯;
-该含氢聚合物沉积流体是七氟环丁烷;
-该含氢聚合物沉积流体是(Z)-1,1,1,2,3,4,4-七氟-2-丁烯;
-该含氢聚合物沉积流体是(E)-1,1,1,2,3,4,4-七氟-2-丁烯;
-该含氢聚合物沉积流体是1,1,3,3,4,4,4-七氟-1-丁烯;
-该含氢聚合物沉积流体是1,1,1,2,3,4,4-七氟-2-丁烯;
-该含氢聚合物沉积流体是六氟异丁烯;
-该含氢聚合物沉积流体是顺式-1,1,2,2,3,4-六氟环丁烷;
-该含氢聚合物沉积流体是反式-1,1,2,2,3,4-六氟环丁烷;
-该含氢聚合物沉积流体是六氟异丁烯;
-该含氢聚合物沉积流体是2,3,3,4,4,4-六氟-1-丁烯;
-该含氢聚合物沉积流体是1,1,3,4,4,4-六氟丁-2-烯;
-该含氢聚合物沉积流体是3,3,4,4,4-五氟丁烯-1;
-该含氢聚合物沉积流体是1,1,2,2,3-五氟环丁烷;
-该含氢聚合物沉积流体是1,1,4,4,4-五氟丁烯-1;
-该含氢聚合物沉积流体是1,1,2,3,3-五氟环丁烷;
-该含氢聚合物沉积流体是3,3,4,4,4-五氟-1-丁烯;
-该含氢聚合物沉积流体是2,4,4,4-四氟-1-丁烯;
-该含氢聚合物沉积流体是1,1,2,2-四氟环丁烷;
-该含氢聚合物沉积流体是3,3,4,4-四氟-1-丁烯;
-该含氢聚合物沉积流体是4,4,4-三氟-1-丁烯;
-该含氢聚合物沉积流体是1,1,2-三氟丁-1-烯;
-该含氢聚合物沉积流体是2-(三氟甲基)丙烯;
-该含氢聚合物沉积流体是1,1,二氟-2-(氟甲基)环丙烷;
-该含氢聚合物沉积流体是1,2,3-三氟环丁烷;
-该含氢聚合物沉积流体是1,1-二氟丁烯;
-该含氢聚合物沉积流体是(2E)-1,4-二氟-2-丁烯;
-该含氢聚合物沉积流体是(2E)-1,1-二氟-2-丁烯;
-该含氢聚合物沉积流体是1,1-二氟-2-甲基-1-丙烯;
-该含氢聚合物沉积流体是九氟环戊烷;
-该含氢聚合物沉积流体是1,1,2,2,3,3-六氟-4-(三氟甲基)环丁烷;
-该含氢聚合物沉积流体是(1E)-1,2,3,3,4,4,5,5,5-九氟-1-戊烯;
-该含氢聚合物沉积流体是1,1,3,3,4,4,5,5,5-九氟-1-戊烯;
-该含氢聚合物沉积流体是(2E)-1,1,1,2,3,4,4,5,5-九氟-2-戊烯;
-该含氢聚合物沉积流体是1,3,3,4,4,5,5-七氟环戊烯;
-该含氢聚合物沉积流体是1,2,3,3,4,4,5-七氟环戊烯;
-该含氢聚合物沉积流体是3,3,4,4,5,5-六氟环戊烯;
-该含氢聚合物沉积流体是六氟环戊烯;
-该含氢聚合物沉积流体是五氟(乙烯基)环丙烷;
-该含氢聚合物沉积流体是1,2,3,3,4-五氟环戊烯;
-该含氢聚合物沉积流体是3,3,4,4-四氟-1-甲基环丁烯;
-该含氢聚合物沉积流体是1,2,3-三氟-4-(三氟甲基)环丁烷;
-该含氢聚合物沉积流体是1,1,2,2,3,3-六氟环戊烷;
-该含氢聚合物沉积流体是1,1,2,2,3,3,4-七氟环戊烷;
-该含氢聚合物沉积流体是1,1,2,2,3,3,4,5-八氟环戊烷;
-该含氢聚合物沉积流体是八氟环戊烷;
-该含氢聚合物沉积流体是1,1,4,4,4-五氟-2-(三氟甲基)丁-1-烯;
-该含氢聚合物沉积流体是(E)-1,1,1,2,3,4,5,5-八氟戊-2-烯;
-该含氢聚合物沉积流体是1,2,3,4,5-五氟环戊烷;
-该含氢聚合物沉积流体是3,3,4,4-四氟-2-甲基-1-丁烯;
-该蚀刻流体是SF6
-该蚀刻流体是SF5CF3
-该蚀刻流体是SF4
-该蚀刻流体是PF3
-该蚀刻流体是Si2F6
-该蚀刻流体是BF3
-该蚀刻流体是CF3I;
-该蚀刻流体是C2F5I;
-该蚀刻流体是C3F7I;
-该蚀刻流体是SOF4
-该蚀刻流体是IF5
-该蚀刻流体是COF2
-该孔具有范围从大约2:1至大约100:1的纵横比;
-该孔具有范围从约40nm至大约2000μm(微米(micron或micrometer))的宽度;
-相比氧化硅,该硅蚀刻方法选择性地蚀刻硅更多;
-相比氮化硅,该硅蚀刻方法选择性地蚀刻硅更多;
-该硅蚀刻方法不利用惰性气体;
-该硅蚀刻方法不利用含氧气体;并且
-该含氧气体为臭氧、氧气、H2O、N2O、NO及其组合。
附图简要说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在所述附图中相似元件给予相同或类似的参考号,其中:
披露了使用循环工艺在含硅基板中蚀刻孔的方法。如以上所描述,现有技术的循环工艺通过引入SF6作为蚀刻气体且使用cC4F8在孔上沉积聚合物来在硅基板中蚀刻孔。在此描述了用含氢聚合物沉积流体替换波希cC4F8的类似工艺。申请人已发现,与使用cC4F8产生的那些孔相比,含氢聚合物沉积流体允许蚀刻具有更高纵横比的孔,随着技术进展这是重要的。另外,这些含氢聚合物沉积流体可允许以类似速率蚀刻具有不同纵横比的孔。
所披露的方法使用含F蚀刻流体在含硅基板中等离子体蚀刻孔以形成经蚀刻的含硅基板和挥发性SiFx气体,其中x为1-4。该蚀刻流体可为SF6、SF5CF3、SF4、PF3、Si2F6、BF3、CF3I、C2F5I、C3F7I和SOF4、IF5、COF2、或其组合。这些流体是可商购的。这些蚀刻流体从基板快速蚀刻硅。
在一个替代方案中,该蚀刻流体为SF6、SF4、PF3、Si2F6、BF3、SOF4、IF5或其组合,因为这些流体不含碳原子。含碳蚀刻流体示出与无碳的那些蚀刻流体相比更低的蚀刻速率。碳原子还可能引起一些膜沉积。
含氢聚合物沉积流体的等离子体在该经蚀刻的含硅基板上沉积聚合物。该含氢聚合物沉积流体具有式CxHyFz,其中1≤x<7,1≤y≤13且1≤z≤13。在标准温度和压力下,该含氢聚合物沉积流体的沸点应低于大约50℃。该含氢聚合物沉积流体可具有环状结构和/或包括双键。该环状结构和/或双键可使其更易于形成聚合物膜。优选的含氢聚合物沉积流体包括以下取代基中的至少一种:=CH2、=CF2、=CHF、-CH2F、-CF2H、>CHF、或>CH2
示例性含氢聚合物沉积流体包括三氟乙烯、(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、2,3,3,3-四氟丙烯、(E)-1,3,3,3-四氟丙烯、1,1,3,3-四氟丙烯、(Z)-1,3,3,3-四氟丙烯、1,3,3,3-四氟丙烯、1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯、七氟环丁烷、(Z)-1,1,1,2,3,4,4-七氟-2-丁烯、(E)-1,1,1,2,3,4,4-七氟-2-丁烯、1,1,3,3,4,4,4-七氟-1-丁烯、1,1,1,2,3,4,4-七氟-2-丁烯、六氟异丁烯、顺式-1,1,2,2,3,4-六氟环丁烷、反式-1,1,2,2,3,4-六氟环丁烷、六氟异丁烯、2,3,3,4,4,4-六氟-1-丁烯、1,1,3,4,4,4-六氟丁-2-烯、3,3,4,4,4-五氟丁烯-1、1,1,2,2,3-五氟环丁烷、1,1,4,4,4-五氟丁烯-1、1,1,2,3,3-五氟环丁烷、3,3,4,4,4-五氟-1-丁烯、2,4,4,4-四氟-1-丁烯、1,1,2,2-四氟环丁烷、3,3,4,4-四氟-1-丁烯、4,4,4-三氟-1-丁烯、1,1,2-三氟丁-1-烯、2-(三氟甲基)丙烯、1,1,二氟-2-(氟甲基)环丙烷、1,2,3-三氟环丁烷、1,1-二氟丁烯、(2E)-1,4-二氟-2-丁烯、(2E)-1,1-二氟-2-丁烯、1,1-二氟-2-甲基-1-丙烯、九氟环戊烷、1,1,2,2,3,3-六氟-4-(三氟甲基)环丁烷、(1E)-1,2,3,3,4,4,5,5,5-九氟-1-戊烯、1,1,3,3,4,4,5,5,5-九氟-1-戊烯、(2E)-1,1,1,2,3,4,4,5,5-九氟-2-戊烯、1,3,3,4,4,5,5-七氟环戊烯、1,2,3,3,4,4,5-七氟环戊烯、3,3,4,4,5,5-六氟环戊烯、六氟环戊烯、五氟(乙烯基)环丙烷、1,2,3,3,4-五氟环戊烯、3,3,4,4-四氟-1-甲基环丁烯、1,2,3-三氟-4-(三氟甲基)环丁烷、1,1,2,2,3,3-六氟环戊烷、1,1,2,2,3,3,4-七氟环戊烷、1,1,2,2,3,3,4,5-八氟环戊烷、八氟环戊烷、1,1,4,4,4-五氟-2-(三氟甲基)丁-1-烯、(E)-1,1,1,2,3,4,5,5-八氟戊-2-烯、1,2,3,4,5-五氟环戊烷以及3,3,4,4-四氟-2-甲基-1-丁烯。这些化合物是可商购的或通过本领域中已知的方法合成。
在一个替代方案中,含氢聚合物沉积流体可以是(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、1,1,3,4,4,4-六氟丁-2-烯、2,3,3,4,4,4-六氟-1-丁烯、1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯、顺式-1,1,2,2,3,4-六氟环丁烷、或其组合。
在另一个替代方案中,含氢聚合物沉积流体可以是(Z)-1,1,1,4,4,4-六氟-2-丁烯、(E)-1,1,1,4,4,4-六氟-2-丁烯、反式-1,1,2,2,3,4-六氟环丁烷、六氟异丁烯、或其组合。
所披露的含氢聚合物沉积流体以大于99.9%v/v纯度、优选以大于99.99%v/v纯度、并且更优选以大于99.999%v/v纯度提供。所披露的流体含有按体积计低于0.1%痕量杂质,其中按体积计低于150ppm的含氮流体和含氧流体,诸如N2和/或H2O和/或CO2,包含在所述痕量杂质中。优选地,该流体中的水含量是按重量计低于20ppm。可通过蒸馏和/或使气体或液体通过适合的吸附剂(诸如4A分子筛)产生经纯化的产物。
在一个实施例中,所披露的含氢聚合物沉积流体含有低于5%v/v、优选低于1%v/v、更优选低于0.1%v/v、并且甚至更优选低于0.01%v/v的其异构体中的任一种。此实施例可提供更好的工艺可重复性。此实施例可通过气体或液体的蒸馏产生。在替代性实施例中,所披露的含氢聚合物沉积流体可含有在5%v/v与50%v/v之间的其异构体中的一种或多种,尤其当该异构体混合物提供改进的工艺参数或目标异构体的分离过于困难或昂贵时。例如,异构体混合物可减少对于两个或更多个通向等离子体反应器的气体管线的需要。
通过含氢聚合物沉积流体的等离子体产生的CaHbFc物种的总量的大约50%至大约100%具有大于1:2的C:F比率,其中a=1或2、b=1或2且c=1至3。该CaHbFc物种在经蚀刻的含硅基板上形成聚合物。当与通过更大物种(C3+)形成的膜相比时,这些小CaHbFc物种可帮助形成更致密的膜。致密膜对来自蚀刻流体的蚀刻具有更大抗性,因为各单位区域含有更多原子以与蚀刻流体反应。在小CaHbFc物种和所得致密膜中氢也是必需的。该膜中的H原子在蚀刻工艺期间与蚀刻流体反应,允许C和F原子保留在聚合物膜中。
如以下实例中所说明的,QMS测试指示通过所提出的含氢聚合物沉积流体中的一些产生的CaHbFc物种的大部分(即,在大约50原子%与大约100原子%之间、更尤其在大约60原子%与大约96原子%之间)具有大于1:2的C:F比率。具有大于1:2的C:F比率的CaHbFc物种可帮助形成致密聚合物膜。通过所提出的CaHbFc物种产生的致密聚合物膜不易于从结构蚀刻。至少由于离子/物种到达高纵横比孔洞/通孔底部的物理困难,与在低纵横比结构(例如<5:1)上相比,CaHbFc物种在高纵横比结构(例如>15:1)上形成更薄的致密聚合物膜。如以下实例中将说明的,与使用当前沉积流体产生的膜相比,通过使用所提出的含氢聚合物沉积流体,RIE滞后或纵横比相关蚀刻可因此相比于现有技术工艺而降低,因为将需要更多时间来蚀刻在低纵横比结构上产生的更厚的致密膜。结果是,低纵横比结构的蚀刻时间可能与高纵横比结构中所产生的更薄的膜的蚀刻时间类似。优选地,该CaHbFc物种也包括H,其可从蚀刻流体消耗F,提供进一步的抗蚀刻性。
该CaHbFc物种也产生聚合物,这些聚合物具有比通过cC4F8形成的聚合物更弱的对硅基板的聚合物粘附力,这可使聚合物更易于从孔移除。
如实例中所示的,初始测试结果指示不管待蚀刻孔的宽度或所希望的纵横比,增加CxHyFz(其中1≤x<7、1≤y≤13且1≤z≤13)含氢聚合物沉积流体中的H的量允许类似的蚀刻速率。换言之,如果孔具有2nm或20nm或100nm宽度且将具有高纵横比(10-50),则当使用具有比标准cC4F8波希聚合物沉积气体更多氢的含氢聚合物沉积流体时蚀刻速率将是类似的。
当所披露的含氢聚合物沉积流体允许不管孔的尺寸使蚀刻速率变得更一致时,所披露的工艺可允许在不使用终止层的情况下深度孔硅蚀刻。除降低与终止层的沉积相关的处理成本和时间外,使用所披露的含氢聚合物沉积流体免除对终止层的需要也可防止在终止层和Si层的界面处的任何凹口。
将蚀刻流体的蒸气引入具有待蚀刻基板含在其中的等离子体反应腔室中。引入时间可在从大约0.001秒至大约30秒的范围内。随后将含氢聚合物沉积流体的蒸气引入该反应腔室中。引入时间可在从大约0.001秒至大约30秒的范围内。蚀刻流体的引入时间始终比含氢聚合物沉积流体的引入时间长。重复等离子体蚀刻和聚合物沉积步骤直至孔具有所希望的纵横比。所得孔可具有在从大约2:1至大约100:1范围内的纵横比和在从大约10nm至大约2000μm(微米(micron或micrometer))范围内的宽度。长度可在从10nm至450mm的范围内。
这些蚀刻方法在具有基板安置在其中的等离子体反应腔室中发生。该等离子体反应腔室可以是其中进行蚀刻方法的器件内的任何附件或腔室,这些蚀刻方法诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的双电容耦合等离子体(CCP)、感应耦合等离子体-反应性离子蚀刻(ICP-RIE)、微波等离子体反应器、磁性增强反应性离子蚀刻(MERIE)或能够选择性地移除含Si层的一部分或产生活性物种的其他类型的蚀刻系统。本领域普通技术人员将认识到,不同的等离子体反应腔室设计提供不同的电子温度控制。适合的可商购的等离子体反应腔室包括但不限于以商标Centura SilviaTM出售的应用材料(Applied Materials)蚀刻器或以商标2300(R)SyndionTM出售的拉姆研究(Lam Research)蚀刻器产品家族。
该等离子体反应腔室可含有一个或多于一个基板。基板一般定义为在其上进行工艺的材料。基板可为用于半导体、光伏、平板或LCD-TFT器件制造中的任何合适的基板。适合的基板的实例包括晶圆,诸如硅、碳化硅、二氧化硅、玻璃、GaAs或GaN晶圆。例如,该等离子体反应腔室可含有从1至200个具有从25.4mm至450mm的直径的硅晶圆。从先前的制造步骤,该晶圆可具有在其上沉积的一或多个不同材料层。例如,这些晶圆可包括硅层(结晶、非晶形、多孔等)、碳化硅层、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂的氧化硅(SiCOH)层或其组合。另外,这些晶圆可包括GaN层、铝层、铜层、钨层或贵金属层(例如铂、钯铑或金)。也可使用塑料层诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)将气体形式的所披露的蚀刻流体和含氢聚合物沉积流体引入等离子体反应腔室中。可以以在从大约0.1sccm至大约1slm范围内的流速下将流体中的每一种引入该腔室中。例如,对于200mm晶圆尺寸,可以在从大约50sccm至大约200sccm范围内的流速下将流体中的每一种引入该腔室中。可替代地,对于450mm晶圆尺寸,可以在从大约100sccm至大约2000sccm范围内的流速下将流体中的每一种引入该腔室中。本领域普通技术人员将认识到,流速将随工具不同而不同。在一个替代方案中,将含氢聚合物沉积流体和蚀刻流体单独引入腔室中。在另一个替代方案中,含氢聚合物沉积流体连续地流入腔室中且将蚀刻流体以脉冲方式引入腔室中。
蚀刻流体和含氢聚合物沉积流体中的一些在室温和大气压下为气体。对于非气体(即液体)化合物,可通过常规的汽化步骤,诸如直接汽化或通过鼓泡使这些化合物汽化来产生气体形式。该化合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。可替代地,可通过将载气传送至含有该化合物的容器中或通过将载气鼓泡进该化合物中使该化合物汽化。该载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡还可移除蚀刻气体中存在的任何溶解氧。该载气和化合物然后作为蒸气被引入反应器中。
必要时,可将含有蚀刻流体和含氢聚合物沉积流体中的每一种的容器加热至允许化合物具有足以递送至蚀刻工具中的蒸气压的温度。可使该容器维持在例如大约25℃至大约100℃、优选从大约25℃至大约50℃范围内的温度下。更优选地,使该容器维持在室温(约25℃)下以避免加热到蚀刻工具的管线。本领域技术人员认识到可以按已知方式调节该容器的温度以控制气化的化合物的量。
取决于所用的等离子体反应腔室,还可将惰性气体引入具有蚀刻流体和/或含氢聚合物沉积流体的等离子体反应腔室中以便提供更好的离子轰击。在其他替代方案中,不需要惰性气体,因为产生等离子体的能量和蚀刻流体和/或含氢聚合物沉积流体的流速足以在无惰性气体的额外帮助下维持等离子体。当需要惰性气体时,惰性气体可为He、Ar、Xe、Kr、Ne或其组合。在引入腔室中之前,惰性气体可与蚀刻流体或含氢聚合物沉积流体混合,其中该惰性气体占所得混合物的在大约0%v/v与大约95%v/v之间。可替代地,可将含氢聚合物沉积流体连续地引入该腔室中,同时以脉冲形式将蚀刻流体和惰性气体引入腔室中。
所披露的蚀刻流体和含氢聚合物沉积流体通过等离子体活化以产生活化的物种。等离子体将蚀刻流体和含氢聚合物沉积流体分解成自由基形式(即活化的蚀刻流体或活化的含氢聚合物沉积流体(先前称为CaHbFc物种))。等离子体可通过施加RF或DC功率产生。等离子体可用在从约25W至约10,000W范围内的RF功率产生。等离子体可产生或存在于反应器本身内。等离子体可以以双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从200KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可进一步用于控制基板处的分子片段和反应。本领域技术人员将认识到适用于此类等离子体处理的方法及装置。
四极质谱仪(QMS)、光学发射光谱仪、FTIR或其他自由基/离子测量工具可测量经活化的蚀刻流体或经活化的含氢聚合物沉积流体以确定所产生的物种的类型和数量。必要时,可调节蚀刻流体、含氢聚合物沉积流体和/或惰性气体的流速以增加或减少所产生的自由基物种的数量。
所披露的蚀刻流体可在引入等离子体反应腔室中之前或在该等离子体反应腔室内与其他气体混合。示例性气体包括但不限于氧化剂诸如O2、O3、CO、CO2、NO、N2O、NO2及其组合。如WO 2014/070838中所披露的,含硅基板的蚀刻由含氧气体与所披露的含氢聚合物沉积流体的组合发生。因此,所披露的含氢聚合物沉积流体应不与含氧气体混合而进行在此所披露的波希型蚀刻方法。所披露的蚀刻流体和氧化剂可在引入等离子体反应腔室中之前混合在一起。可替代地,可向该腔室中连续地引入氧化剂且向该腔室中以脉冲形式引入蚀刻流体。该氧化剂可占引入腔室中的混合物的在大约5%v/v至大约100%v/v之间(其中100%v/v表示针对连续引入替代方案的纯氧化剂的引入)。
在引入等离子体反应腔室中之前或之后,可与蚀刻流体和/或含氢聚合物沉积流体混合的其他示例性气体包括额外的蚀刻气体,诸如cC4F8、C4F6、CF4、CHF3、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I和SO2。这些额外蚀刻气体可占引入腔室中的混合物的在大约1%v/v至大约99.9%v/v之间。
含Si层和经活化的蚀刻流体反应以形成挥发性副产物,将这些副产物从等离子体反应腔室中移除。相比SiO层、SiN层、非晶碳掩模、减反射涂层和光致抗蚀剂层,所披露的蚀刻工艺选择性地蚀刻硅层更多。
使等离子体反应腔室内的温度和压力保持在适用于含硅层与经活化的蚀刻流体反应的条件下。例如,根据蚀刻参数,可使腔室中的压力保持在大约0.1毫托与大约1000托之间、优选在大约1毫托与大约10托之间、更优选在大约10毫托与大约1托之间、并且更优选在大约10毫托与大约100毫托之间。同样地,腔室中的基板温度可在约大约-196℃至大约500℃之间、优选在-120℃至大约300℃之间、并且更优选在5℃至大约30℃之间的范围内。腔室壁温度可取决于工艺要求在从大约-196℃至大约300℃的范围内,优选在100℃至250℃之间。
含Si层与经活化的蚀刻流体之间的反应导致从基板各向异性移除含Si层。氮、氧和/或碳的原子也可存在于含Si层中。移除归因于由等离子体离子物理溅射含Si层(通过等离子体加速)和/或通过等离子体物种的化学反应以将Si转化成挥发性物种,诸如SiFx,其中x在从1至4的范围内。
在一种非限制性的示例性等离子体蚀刻工艺中,使用受控制的气流器件将SF6和反式-1,1,2,2,3,4-六氟环丁烷独立地引入200mm ICP-RIE等离子体蚀刻工具中。这些受控制的气流器件可以是质量流量控制器。等离子体反应腔室含有在0.4mm Si层上具有5um光致抗蚀剂掩模的基板。使该基板温度保持在20℃下。将该等离子体反应腔室的压力设定在大约45毫巴下。将反式-1,1,2,2,3,4-六氟环丁烷源加热到在40℃至50℃之间以便保持高蒸气压(当此化合物的沸点为大约27℃时)。保持基板与等离子体源的距离为10cm且将顶部电极RF功率固定在1800W。在SF6蚀刻工艺期间,底部电极的低频RF功率设定为100W,其中10ms接通且90ms关闭。在300sccm下将SF6引入腔室中持续7秒作为蚀刻步骤以蚀刻硅层。在反式-1,1,2,2,3,4-六氟环丁烷聚合物沉积工艺期间,该底部电极的低频RF功率设定为0W。在150sccm下将反式-1,1,2,2,3,4-六氟环丁烷引入腔室中持续2秒作为聚合物形成步骤。重复该循环,其中后续SF6循环移除由该反式-1,1,2,2,3,4-六氟环丁烷形成的底部聚合物膜以及Si层二者。
这些工艺披露了产生较小物种的含氢聚合物沉积流体能够在深度蚀刻孔中形成聚合物,允许蚀刻未来一代的TSV、MEMS以及功率器件。沉积的聚合物也可比通过cC4F8所产生的那些更容易从孔移除。
所披露的工艺还可减少在制造TSV、MEMS以及功率器件期间深度硅蚀刻中的RIE滞后(或消除不同开口掩模的蚀刻速率方面的差异)。结果是,可在不要求对各器件进行复杂工艺参数优化的情况下使用含氢聚合物沉积流体。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例并不旨在包括所有情况并且并不旨在限制在此所描述的发明的范围。
使用类似于图1中所示的器件的反应性离子蚀刻器(RIE)或ICP-RIE蚀刻器进行以下测试。
实例1
使用SF6和3种不同的聚合物形成流体(cC4F8、C4HF7和C4H2F6)产生六个深沟槽,三个具有2微米宽度且三个具有20微米宽度。ICP功率为1800W,偏压低频功率为100W,其中10ms接通且90ms关闭,压力为45毫巴,SF6的流速为300sccm持续7秒,且聚合物形成流体的流速为150sccm持续2秒,基板的温度为20℃且总工艺时间为10分钟。结果的扫描电子显微镜(SEM)照片示于图2中。
图3示出了针对各宽度,四种C4HyFz流体组合(即SF6/cC4F8、SF6/C4HF7、SF6/c-C4H2F6、SF6/lin-C4H2F6)的不同蚀刻速率。SF6/C4HyFz意指SF6在蚀刻步骤中使用且C4HyFz(其中y=0、1或2;z=6、7或8;且y+z=8)在聚合物沉积步骤中使用。如可见,小(2微米)沟槽与大(20微米)沟槽之间的蚀刻速率的差异随着分子的氢含量的增加而减小。
图4示出了针对各宽度,三种C3HyFz流体组合(即SF6/C3F6、SF6/C3H2F4、SF6/C3HF5)的不同蚀刻速率。SF6/C3HyFz(其中y=0、1或2;z=4、5或6;且y+z=6)意指SF6在蚀刻步骤中使用且C3HyFz在聚合物沉积步骤中使用。如可见,小(2微米)沟槽与大(20微米)沟槽之间的蚀刻速率的差异随着分子的氢含量的增加而减小,尤其对于含有=CH2的分子。
实例2
聚合物沉积和蚀刻速率评估如下:
(1)使用循环波希工艺通过使用SF6蚀刻且用cC4F8沉积聚合物来产生均匀的沟槽,从而产生具有相同深度的沟槽。用于产生沟槽的参数为:ICP功率为1800W,偏压低频功率为100W,其中10ms接通且90ms关闭,压力为45毫巴,SF6的流速为300sccm持续7秒且cC4F8的流速为150sccm持续2秒,基板的温度保持在20℃下,总工艺时间为10分钟。
(2)通过将各流体(cC4F8、C3F6、C3H2F4、C3HF5、C4HF7、C4H2F6或cC4H2F6)的等离子体引入沟槽中来沉积聚合物且通过SEM测量聚合物厚度。沉积条件对于各流体是相同的:ICP功率为1800W,未施加偏压功率,压力为45毫巴,各流体的流速为150sccm持续3分钟,基板的温度保持在20℃下。
(3)在相同条件下通过SF6蚀刻沉积的聚合物膜且通过SEM测量厚度。在蚀刻之前和之后的厚度的差异为聚合物抗蚀刻性。蚀刻参数为:ICP功率为1800W,偏压低频功率为100W,其中10ms接通且90ms关闭,压力为45毫巴,SF6的流速为300sccm持续1分钟,基板的温度保持在20℃下。
在沟槽的不同点处测量步骤(2)和(3)中的聚合物膜的厚度,这些点为沟槽顶部表面、沟槽底部、沟槽侧壁的顶部、沟槽侧壁的中部以及沟槽侧壁的底部,如图5中所示。图6a示出了在步骤2中获得的聚合物沉积速率。图6b示出了在步骤3中获得的在图6a中沉积的聚合物膜的聚合物抗蚀刻性。图7示出了通过X射线光电子光谱(XPS)的聚合物组成分析。在基板的顶部表面上分析聚合物膜,因为XPS难以在窄沟槽中进行分析。较大量的C-CFx(x=1至3)意指该膜具有较高碳浓度,其在几何上更致密地填充且还难以被SF6蚀刻。预期此类致密填充的膜示出较高的SF6抗蚀刻性。
实例3
图8示出了各流体的四极质谱仪(Q-MS)分析。通过使流体流入Q-MS分析器腔室中来进行测量,其中施加70eV的电离能。C4HF7、c-C4H2F6、lin-C4H2F6和C3HF5含有大量高C:F比率物种(此处高C:F比率意指C:F≥1:2,例如当F=2时,C>1),这些物种对于形成示出较高抗蚀刻性的致密聚合物膜是重要的。由于在实例2中获得的不良结果,未对C3H2F4进行Q-MS。
实例4
评估聚合物膜对空白硅晶圆的粘附力。ASTM D3359胶带剥离测试用作评估标准。3M牌610胶带用于剥离测试。以1mm×1mm横切聚合物膜。在通过胶带剥离之后,通过光学显微镜图像评估移除的聚合物的区域。图9示出了剥离测试之前和之后的聚合物膜的光学显微镜图像。通过照相机获取底线中的图片以示出低放大倍率图像。粘附力随着具有相同碳数的氢含量提高而降低。
实例5
除从2微米至20微米的沟槽尺寸范围外,还测试了最高达2毫米的较大沟槽尺寸。RIE滞后减少的趋势与从2微米至20微米观察到的趋势相同。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。在此描述的实施例只是示例性的且是非限制性的。组成和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于在此所描述的实施例,而仅受随后的权利要求所限定,其范围应包括这些权利要求的主题的所有等效物。

Claims (15)

1.一种以类似速率蚀刻具有不同纵横比的孔的方法,该方法包括:
a.用含氟蚀刻流体等离子体蚀刻含硅基板,形成经蚀刻的含硅基板,该经蚀刻的含硅基板包括具有一种宽度的孔和具有不相同的宽度的孔;
b.通过等离子体处理不饱和含氢聚合物沉积流体来产生CaHbFc物种,这些物种的总量的大约50%至大约100%具有大于1:2的C:F比率,其中a=1或2,b=1或2且c=1至3,从而在所述经蚀刻的含硅基板上沉积聚合物膜;
c.重复步骤a和b以产生经蚀刻和聚合物沉积的含硅基板,其包括具有高纵横比的孔和具有低纵横比的孔。
2.权利要求1所述的方法,其中与在具有低纵横比的孔上相比,CaHbFc物种在具有高纵横比的孔上形成更薄的致密聚合物膜。
3.如权利要求1所述的方法,其中具有高纵横比的孔和具有低纵横比的孔的纵横比在大约2:1至大约100:1的范围内。
4.如权利要求3所述的方法,其中具有高纵横比的孔具有>15:1的的纵横比。
5.如权利要求3所述的方法,其中具有高纵横比的孔具有<5:1的的纵横比。
6.如权利要求1所述的方法,其中所述不饱和含氢聚合物沉积流体选自下组,该组由以下各项组成:(Z)-1,2,3,3,3-五氟丙烯、1,1,2,3,3-五氟丙烯、1,1,3,3,3-五氟丙烯、1,2,3,3,3-五氟丙烯、(E)-1,2,3,3,3-五氟丙烯、1,1,3,4,4,4-六氟丁-2-烯;2,3,3,4,4,4-六氟-1-丁烯;1,1,2,3,3,4,4-七氟丁-1-烯、1,1,1,2,4,4,4-七氟-2-丁烯以及顺式-1,1,2,2,3,4-六氟环丁烷。
7.如权利要求1所述的方法,其中所述不饱和含氢聚合物沉积流体选自下组,该组由以下各项组成:(Z)-1,1,1,4,4,4-六氟-2-丁烯;(E)-1,1,1,4,4,4-六氟-2-丁烯;反式-1,1,2,2,3,4-六氟环丁烷;以及六氟异丁烯。
8.如权利要求1所述的方法,其中含硅基板为硅或碳化硅。
9.如权利要求1所述的方法,其中蚀刻流体选自下组,该组由以下各项组成:SF6、SF5CF3、SF4、PF3、Si2F6、BF3、CF3I、C2F5I、C3F7I、SOF4、IF5以及COF2
10.如权利要求9所述的方法,其中蚀刻流体选自下组,该组由以下各项组成:SF6、SF4、PF3、Si2F6、BF3、SOF4以及IF5
11.如权利要求1-10任一项中所述的方法,其中具有高纵横比的孔和具有低纵横比的孔具有范围从大约40nm至大约2000μm(微米)的宽度。
12.如权利要求1-10任一项中所述的方法,其中相比氧化硅,该硅蚀刻方法选择性地蚀刻硅更多。
13.如权利要求1-10任一项中所述的方法,其中相比氮化硅,该硅蚀刻方法选择性地蚀刻硅更多。
14.如权利要求1-10任一项中所述的方法,其中该方法不利用惰性气体。
15.如权利要求1-10任一项中所述的方法,其中该方法不利用含氧气体。
CN202010698443.8A 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质 Pending CN111816559A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462013959P 2014-06-18 2014-06-18
US62/013,959 2014-06-18
CN201580031726.4A CN106663624B (zh) 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580031726.4A Division CN106663624B (zh) 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质

Publications (1)

Publication Number Publication Date
CN111816559A true CN111816559A (zh) 2020-10-23

Family

ID=54935182

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580031726.4A Active CN106663624B (zh) 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质
CN202010698443.8A Pending CN111816559A (zh) 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201580031726.4A Active CN106663624B (zh) 2014-06-18 2015-06-17 用于tsv/mems/功率器件蚀刻的化学物质

Country Status (8)

Country Link
US (3) US9892932B2 (zh)
EP (1) EP3158579A4 (zh)
JP (1) JP6485972B2 (zh)
KR (3) KR20230079491A (zh)
CN (2) CN106663624B (zh)
SG (1) SG11201610342YA (zh)
TW (3) TWI733431B (zh)
WO (1) WO2015194178A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI733431B (zh) * 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US10648087B2 (en) 2015-11-10 2020-05-12 L'Air Liquide, SociétéAnonyme pour l'Exploitation et l'Etude des Procédés Georges Claude Etching reactants and plasma-free etching processes using the same
JP6587580B2 (ja) * 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
CN110546742B (zh) * 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
WO2018226501A1 (en) * 2017-06-08 2018-12-13 Tokyo Electron Limited Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
TWI757545B (zh) * 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10607999B2 (en) * 2017-11-03 2020-03-31 Varian Semiconductor Equipment Associates, Inc. Techniques and structure for forming dynamic random access device
KR102504833B1 (ko) * 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
KR102450580B1 (ko) 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
JP6874778B2 (ja) * 2019-01-09 2021-05-19 ダイキン工業株式会社 シクロブタンの製造方法
US11257678B2 (en) * 2019-04-19 2022-02-22 Hitachi High-Tech Corporation Plasma processing method
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
CN116169018A (zh) * 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
KR102389081B1 (ko) * 2020-04-06 2022-04-20 아주대학교산학협력단 PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
KR102388963B1 (ko) * 2020-05-07 2022-04-20 아주대학교산학협력단 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
KR20230079304A (ko) * 2020-10-05 2023-06-07 에스피피 테크놀로지스 컴퍼니 리미티드 플라스마 처리용 가스, 플라스마 처리 방법 및 플라스마 처리 장치
WO2022080271A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 エッチングガス及びその製造方法、並びに、エッチング方法、半導体素子の製造方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03154337A (ja) * 1989-11-13 1991-07-02 Hitachi Ltd 半導体装置の製造方法
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US20020039843A1 (en) * 2000-09-29 2002-04-04 Takenobu Ikeda Method of manufacturing a semiconductor integrated circuit device
US20030045114A1 (en) * 2001-06-19 2003-03-06 Tuqiang Ni Plasma etching of dielectric layer with etch profile control
CN1802730A (zh) * 2003-04-09 2006-07-12 兰姆研究有限公司 用于利用气体化学剂周期调制的等离子体蚀刻的方法
CN101017817A (zh) * 2006-02-10 2007-08-15 旺宏电子股份有限公司 具有紫外线防护及断裂保护功能的钝化层
CN101071775A (zh) * 2007-05-18 2007-11-14 西安交通大学 氧化锌紫外焦平面成像阵列制作工艺中的化学刻蚀方法
JP2008270348A (ja) * 2007-04-17 2008-11-06 Seiko Epson Corp ドライエッチング装置及び被加工物の加工方法
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
CN102341444A (zh) * 2009-03-06 2012-02-01 苏威氟有限公司 不饱和氢氟烃的用途
US20130105947A1 (en) * 2011-10-26 2013-05-02 Zeon Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
CN103718277A (zh) * 2011-07-27 2014-04-09 中央硝子株式会社 干蚀刻剂
CN103843117A (zh) * 2011-10-07 2014-06-04 应用材料公司 通过介稳氢终止的硅的选择性蚀刻

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
DE19826382C2 (de) 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6284666B1 (en) 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6900136B2 (en) 2002-03-08 2005-05-31 Industrial Technology Research Institute Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes
US7453150B1 (en) * 2004-04-01 2008-11-18 Rensselaer Polytechnic Institute Three-dimensional face-to-face integration assembly
WO2005112092A2 (en) 2004-05-11 2005-11-24 Applied Materials, Inc. CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY
US20090068767A1 (en) 2007-09-12 2009-03-12 Lam Research Corporation Tuning via facet with minimal rie lag
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US9093388B2 (en) * 2010-02-01 2015-07-28 Central Glass Company, Limited Dry etching agent and dry etching method using the same
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
TWI588240B (zh) 2012-10-30 2017-06-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於高縱橫比氧化物蝕刻之氟碳分子
CN103824767B (zh) 2012-11-16 2017-05-17 中微半导体设备(上海)有限公司 一种深硅通孔的刻蚀方法
US20160284523A1 (en) 2013-03-28 2016-09-29 The Chemours Company Fc, Llc Hydrofluoroolefin Etching Gas Mixtures
TWI612182B (zh) 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
CN105612139B (zh) 2013-10-09 2017-09-19 旭硝子株式会社 2,3,3,3‑四氟丙烯的纯化方法
TWI733431B (zh) * 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03154337A (ja) * 1989-11-13 1991-07-02 Hitachi Ltd 半導体装置の製造方法
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US20020039843A1 (en) * 2000-09-29 2002-04-04 Takenobu Ikeda Method of manufacturing a semiconductor integrated circuit device
US20030045114A1 (en) * 2001-06-19 2003-03-06 Tuqiang Ni Plasma etching of dielectric layer with etch profile control
CN1802730A (zh) * 2003-04-09 2006-07-12 兰姆研究有限公司 用于利用气体化学剂周期调制的等离子体蚀刻的方法
CN101017817A (zh) * 2006-02-10 2007-08-15 旺宏电子股份有限公司 具有紫外线防护及断裂保护功能的钝化层
JP2008270348A (ja) * 2007-04-17 2008-11-06 Seiko Epson Corp ドライエッチング装置及び被加工物の加工方法
CN101071775A (zh) * 2007-05-18 2007-11-14 西安交通大学 氧化锌紫外焦平面成像阵列制作工艺中的化学刻蚀方法
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
CN102341444A (zh) * 2009-03-06 2012-02-01 苏威氟有限公司 不饱和氢氟烃的用途
CN103718277A (zh) * 2011-07-27 2014-04-09 中央硝子株式会社 干蚀刻剂
CN103843117A (zh) * 2011-10-07 2014-06-04 应用材料公司 通过介稳氢终止的硅的选择性蚀刻
US20130105947A1 (en) * 2011-10-26 2013-05-02 Zeon Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate

Also Published As

Publication number Publication date
KR20230079491A (ko) 2023-06-07
TWI733431B (zh) 2021-07-11
US20180366336A1 (en) 2018-12-20
KR20220124825A (ko) 2022-09-14
TWI658509B (zh) 2019-05-01
US20170103901A1 (en) 2017-04-13
SG11201610342YA (en) 2017-01-27
EP3158579A4 (en) 2018-02-21
CN106663624A (zh) 2017-05-10
WO2015194178A1 (en) 2015-12-23
TW201929071A (zh) 2019-07-16
JP6485972B2 (ja) 2019-03-20
US20180076046A1 (en) 2018-03-15
CN106663624B (zh) 2020-08-14
US10720335B2 (en) 2020-07-21
KR20170020434A (ko) 2017-02-22
US9892932B2 (en) 2018-02-13
EP3158579A1 (en) 2017-04-26
TW202030312A (zh) 2020-08-16
KR102539241B1 (ko) 2023-06-01
US10103031B2 (en) 2018-10-16
KR102444697B1 (ko) 2022-09-16
JP2017518645A (ja) 2017-07-06
TW201606867A (zh) 2016-02-16
TWI695423B (zh) 2020-06-01

Similar Documents

Publication Publication Date Title
CN106663624B (zh) 用于tsv/mems/功率器件蚀刻的化学物质
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
CN107924842B (zh) 用于蚀刻半导体结构的含氮化合物
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination