CN1802730A - 用于利用气体化学剂周期调制的等离子体蚀刻的方法 - Google Patents

用于利用气体化学剂周期调制的等离子体蚀刻的方法 Download PDF

Info

Publication number
CN1802730A
CN1802730A CNA2004800160255A CN200480016025A CN1802730A CN 1802730 A CN1802730 A CN 1802730A CN A2004800160255 A CNA2004800160255 A CN A2004800160255A CN 200480016025 A CN200480016025 A CN 200480016025A CN 1802730 A CN1802730 A CN 1802730A
Authority
CN
China
Prior art keywords
etching
gas
circulation
layer
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800160255A
Other languages
English (en)
Other versions
CN100411106C (zh
Inventor
E·A·哈森
J·V·蒂茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1802730A publication Critical patent/CN1802730A/zh
Application granted granted Critical
Publication of CN100411106C publication Critical patent/CN100411106C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种蚀刻基板上方的层的方法。进行气体调制循环工艺三个循环以上。每个循环都包括利用具有沉积气体化学剂的第一气体化学剂进行保护层形成阶段,其对于每个循环进行约0.0055至7秒,且利用使用反应蚀刻气体化学剂的第二气体化学剂经由该蚀刻掩模进行用于该部件的蚀刻阶段,其对于每个循环进行约0.005至14秒。该保护层形成阶段包括提供该沉积气体和由该沉积气体形成等离子体。每个蚀刻阶段都包括提供反应蚀刻气体和由该反应蚀刻气体形成等离子体。

Description

用于利用气体化学剂周期调制的等离子体蚀刻的方法
发明背景
1.技术领域
本发明涉及一种利用等离子体蚀刻由如光致抗蚀剂掩模、硬掩模或叠层掩模的掩模限定的结构来获得半导体晶片上的结构的方法。
2.相关技术的描述
在半导体等离子体蚀刻应用中,通常使用等离子体蚀刻剂将掩模图案转印为电路和晶片上所希望的薄膜和/或薄膜叠层(导体或电介质绝缘体)的线图案中。这通过蚀刻掉掩模图案开口区中的光致抗蚀剂材料下面的膜(和膜叠层)而实现。这种蚀刻反应可通过化学活性物种(species)启动,以及通过激发真空外壳中包含的反应剂混合物中的放电生成的带电粒子(离子)启动,所述真空外壳还称为反应器或处理室。另外,离子还可通过在气体混合物和晶片材料之间建立的电场朝着晶片材料加速,产生蚀刻材料以称为各向异性蚀刻的方式沿着离子迹线方向的定向移动。在完成蚀刻序列时,通过剥离掩蔽材料来移除它们,在其位置留下了原始预期的掩模图案的横向图案的复制品。该蚀刻方法示于图1A-C中。在该方法中,使用等离子体蚀刻工艺,将光致抗蚀剂掩模图案104直接转印到下面的氧化物电介质薄膜108中,如图1A所示。该蚀刻生成了接触孔112并且腐蚀和损伤了光致抗蚀剂104,如图1B所示。然后移除光致抗蚀剂,在氧化物108中留下了接触孔112,如图1C所示。在蚀刻工艺期间,在图案转印交换时一般会腐蚀和/或损伤该掩蔽材料。因此,一些损伤和腐蚀还会转印到下面的层,留下了这种不希望的图案变形,如条痕、CD扩大、刻面等。
因此,蚀刻方法的目的可包括减小掩模腐蚀,以增强从掩模图案转印的图案的保真度。为此目的,已提议在反应蚀刻混合物中包括钝化气体。可以以选择这种钝化气体以使该钝化三体的存在相对于待蚀刻的薄膜材料的移除速率选择性减小掩蔽材料的蚀刻损伤和腐蚀。可以以在掩蔽材料表面上生成蚀刻延缓作用涂层(retardation coating)用作阻挡以使蚀刻反应慢下来的这种方式来选择该钝化气体。通过设计,以在待蚀刻的膜结构的垂直表面上另外有益地形成蚀刻延缓作用涂层的方式选择钝化气体,使得在不存在离子轰击的情况下不能进行蚀刻反应。通过带电粒子的垂直迹线的性质,因此蚀刻可以仅在垂直方向上进行,在横向方向上很少以至没有蚀刻,产生了各向异性蚀刻轮廓。因此,在蚀刻混合物中存在钝化气体对于更好的蚀刻掩模保护和利用相对高的能量定向离子轰击的高度各向异性的蚀刻轮廓的优点很重要。
已经提议了反应气体混合物包含蚀刻气体和聚合物形成体(polymer former),后者用作钝化气体的作用。在该情况下,通过激发放电蚀刻气体释放出高的反应性物质,其又蚀刻待蚀刻的薄膜材料以及通过自发反应机理蚀刻掩蔽材料。通过自发反应的性质,蚀刻反应在垂直以及横向表面进行,产生了各向同性蚀刻轮廓。通过在蚀刻结构和掩蔽材料的表面上产生聚合物沉积,可以使用共存在的聚合物形成体,结合离子轰击同时建立对掩蔽材料的高蚀刻选择性和蚀刻各向异性。
还已提议了反应气体混合物包含聚合物形成体气体和蚀刻使能气体。蚀刻使能气体的作用是能够使蚀刻使能气体通过在存在放电的情况下与聚合物形成体气体反应释放高反应性物质。可选地,蚀刻材料以及掩蔽材料上的缓聚作用涂层还可以由正确选择的钝化气体直接与这些材料的表面的化学反应形成。
以上提到的方法的共同缺点是,蚀刻要求的不同方面的最佳条件通常不一致,且通过混合气体,每个前体气体的一些唯一性质由于相互反应而失去。蚀刻条件最佳化几乎通常包括复杂折中为单蚀刻条件中,该条件可能不是应当使不同的蚀刻化学剂分离的最佳条件。
在1996年3月26日授予Laermer等人的标题为“Method ofAnisotropically Etching Silicon”的美国专利5,501,893中教导了一种蚀刻方法的变型。这种方法将蚀刻气体和聚合物形成体气体分离成两个不同的步骤,每个都仅由一种类型的化学剂组成,但不包含另一种。Laermer教导的沉积步骤在建议的一分钟沉积步骤期间形成接近50nm厚的特氟龙状聚合物层。这允许以低的离子轰击能量的快蚀刻速率,由于低的离子轰击能量,如果对于蚀刻材料表面的反应活性能量比掩蔽材料略低,则对于某些自发蚀刻反应可以获得对掩蔽材料的高选择性。
通过从蚀刻工艺移除聚合物形成体,相信因为没有缓聚作用层防止横向蚀刻发生,所以当蚀刻继续进行时,在持续期间蚀刻工艺是各向同性的。另外,在蚀刻混合物中没有钝化气体情况下,如果希望使用较高的离子能量,则很难获得对掩蔽材料的足够的蚀刻选择性。许多蚀刻应用会得益于高离子轰击能量,以在例如很小的尺寸结构中获得高的纵横比结构。还相信这种工艺具有不希望的条痕和刻面。
另外提议的方法包括叠置的掩模配置以改善掩蔽材料的总耐蚀刻性。这示于图2A-F中。在图2A中提供了氧化层204。图2B示出了放置在氧化层上方的硬掩模层208。光致抗蚀剂掩模212放置在硬掩模层208上方,如图2C所示。使用光致抗蚀剂掩模212来图案化硬掩模层208以产生图案化的硬掩模层214,且可移除光致抗蚀剂层212,如图2D所示。利用图案化的硬掩模层214作为掩模,在氧化层204中蚀刻接触孔216,如图2E所示。然后移除硬掩模,在氧化层204中留下了接触216,如图2F所示。
这种方法的优点在于,通过具有从其转移图案(电路和线路)到下面的膜的更多惰性的硬掩模,蚀刻性能大大增强,且对蚀刻和光刻的要求也大大减小。这种方法的缺点在于,通过引入新的工艺步骤和新的成套工具到工艺流程中,产生了较高的成本和较低的总生产量。另外,额外的工艺复杂性还独立地引入了困难。例如,电介质接触蚀刻应用所使用的Si硬掩模不象光致抗蚀剂掩模一样容易被剥离。
发明内容
为了获得前述的和根据本发明的目的,提供了一种用于在基板上方经由蚀刻掩模在层中蚀刻部件(feature)的方法。进行气体调制的循环工艺三个循环以上。每个循环都包括利用具有沉积气体化学剂的第一气体化学剂进行保护层形成阶段,其中对于每个循环进行保护层形成阶段约0.0055至7秒。保护层形成阶段包括提供沉积气体和由沉积气体形成等离子体。每个循环还包括利用使用反应蚀刻气体化学剂的第二气体化学剂,进行用于经由蚀刻掩模蚀刻该部件的蚀刻阶段,其中该第一气体化学剂不同于该第二气体化学剂,其中对于每个循环进行蚀刻阶段约0.005至14秒。每个蚀刻阶段都包括提供反应蚀刻气体和由反应蚀刻气体形成等离子体。
在另一实施例中,提供了一种在基板上方经由蚀刻掩模在层中蚀刻部件的设备。提供可在其内放置基板的处理室。提供第一气体化学剂源,用于提供沉积气体化学剂的第一气体化学剂。提供第二气体化学剂源,用于提供反应蚀刻气体化学剂的第二气体化学剂。提供可控地连接到第一气体化学剂源和第二气体化学剂源的控制器,其中该控制器包括计算机可读媒质用于进行气体调制的循环工艺三个循环以上。该计算机可读媒质包括用于利用具有沉积气体化学剂的第一气体化学剂进行保护层形成阶段的计算机指令,其中对于每个循环进行保护层形成阶段约0.0055至7秒,包括,用于提供沉积气体的计算机指令,和用于由沉积气体形成等离子体的计算机指令。该计算机可读媒质还包括用于进行蚀刻阶段的计算机指令,用于利用使用反应蚀刻气体化学剂的第二气体化学剂经由蚀刻掩模蚀刻该部件,该第一气体化学剂不同于该第二气体化学剂,其中对于每个循环进行蚀刻阶段约0.005至14秒,包括用于提供该反应蚀刻气体的计算机指令和用于由该反应蚀刻气体形成等离子体的计算机指令。
在本发明的另一实施例中,提供了一种在基板上方经由蚀刻掩模在层中蚀刻部件的方法。气体调制的循环工艺进行三个循环以上。每个循环都包括进行第一蚀刻阶段,其中对于每个循环第一蚀刻阶段进行约0.0055至14秒。第一蚀刻阶段包括提供第一蚀刻气体和由第一蚀刻气体形成等离子体。每个循环还包括进行第二蚀刻阶段,其中对于每个循环进行第二蚀刻阶段约0.005至14秒。每个第二蚀刻阶段都包括提供不同于该第一蚀刻气体的第二蚀刻气体,和由该第二蚀刻气体形成等离子体。
在本发明的详细描述和结合下面的图,以下将更详细地描述本发明的这些和其它的特征。
附图的简要说明
借助例子说明了本发明,且并不限制在附图的各图中,其中相似的参考标记指的是相似的元件,其中:
图1A-C是经由现有技术工艺形成接触孔部件的示意图。
图2A-F是经由另一现有技术工艺形成接触孔部件的示意图。
图3是本发明实施例的流程图。
图4A-F是利用本发明的工艺形成接触孔的示意图。
图5是可用于实施本发明的系统的示意图。
图6是利用本发明的例子蚀刻密集接触点阵列的结果的顶视图的扫描电子显微照片。
图7是利用本发明的例子蚀刻密集接触点阵列的结果的剖面图的扫描电子显微照片。
图8A-E示意性地示出了利用亚单层(submonolayer)以快速循环的创造性形式在表面上的材料的建立。
图9A-D示意性地示出了以较慢循环的形式在表面上的材料的建立。
图10A和10B示出了一种计算机系统,其适合于实现本发明实施例中所使用的控制器。
具体实施方式
现在将参考如附图所示的本发明的几个优选实施例详细地描述本发明。在以下的描述中,为了提供本发明的彻底理解,提出了许多具体的细节。然而,对于本领域技术人员显而易见的是,没有这些具体细节的一些或全部,也可实施本发明。在其它情况下,为了不使本发明不必要的晦涩,没有详细地描述公知的工艺步骤和/或结构。
相信,形成保护层,如约10nm厚或更厚的侧壁钝化层,然后进行蚀刻,同时使用保护层作为钝化层,会导致条痕和刻面。不受理论限制,相信这种厚度的层不够共形以提供所希望的保护以免被加条痕。相信,由本发明提供的薄保护层显著地减小了条痕。这种薄的保护层还会减小刻面。还相信,这会减小CD扩大,提供了CD控制或CD偏置控制,其中CD偏置定义为CD在蚀刻期间改变。
本发明是一种新的蚀刻方法,其中原位气体调制循环蚀刻工艺在保护层形成阶段和蚀刻阶段之间交替,以增强总的蚀刻性能,而没有过度地牺牲简单化和成本有效性。该调制具体地包括工艺供给气体的组成和/或流速的循环改变,且还可包括RF功率、气压和温度的同步变化。循环工艺的特征是总的循环时间和循环时间比,该循环时间比是用于保护层形成阶段的时间和用于蚀刻阶段的时间之间的比。
由Huang等人在2002年11月14日申请的、标题为“METHOD FORPLASMA ETCHING PERFORMANCE ENHANCEMENT”的美国专利申请No.10/295,601公开了,在蚀刻行进期间,可使用原位等离子体工艺来增强和/或修补掩模和/或蚀刻部件的垂直侧壁,并为此将其并入这里作为参考。在这种工艺中,在将晶片暴露到蚀刻等离子体达一所希望持续时间之前和/之后,启动等离子体化学工艺步骤达一短的持续时间。
在本发明中,改进了这种方法,以便引入造成保护掩模和侧壁原因的工艺步骤,作为与相容蚀刻阶段交替的气体调制循环工艺的一个阶段。
以在掩模表面和/或被蚀刻膜的侧壁上形成材料薄膜的这种方式来选择保护层形成工艺,以防止蚀刻侵蚀、刻面和条痕。该薄的涂层可由与后面剥离工艺相容以易于最后的移除但比掩蔽材料更抗蚀刻的材料制成。例如,可使用包含很低至没有其它元素的富碳薄膜来涂布光致抗蚀剂掩模,以便被保护的掩模部件不容易被随后的蚀刻工艺腐蚀。换句话说,可以改变掩模图案的表面组分,以便该掩模象伪硬掩模,具有非晶碳硬掩模的某些有利的蚀刻特性。可选地,还可以以在掩模图案上形成薄涂层主要补偿和/或修补被现有蚀刻工艺损伤/腐蚀的掩模图案的这种方式来使用该层形成工艺。涂层相对随后蚀刻反应的相对惰性是有利的,以便不会改变在蚀刻步骤中获得的精细平衡。可选地,可利用提供侧壁的平滑共形覆盖层工艺条件制造薄的涂层,防止由于粗糙的和/或弄皱的侧壁聚合物涂层引起的条痕产生。
蚀刻气体混合物可包含蚀刻剂物质和钝化物质,以便不会失去与蚀刻化学剂中的钝化气体有关的好处。对钝化成分的蚀刻率与多个其它处理条件一起是精细平衡的,以获得最佳的处理结果,如光致抗蚀剂选择性、蚀刻各向异性和蚀刻率等。放电功率可保持高些,带电粒子的能量也保持高些,以在小尺寸结构中获得高的蚀刻率和好的蚀刻各向异性。重复保护层形成和蚀刻循环许多次直至完成蚀刻任务。
为了便于理解,图3是本发明实施例的流程图。在待蚀刻的层上提供掩模(步骤304)。掩模可以是光致抗蚀剂掩模、硬掩模或叠层掩模。图4A-F是工艺的示意性图解说明。图4A示出了光致抗蚀剂掩模404,其已提供在位于基板上的待蚀刻的氧化层408上。基板放置在处理室中(步骤306)。
图5是可在本发明的优选实施例中使用的处理室500的示意图。在该实施例中,等离子体处理室500包括限制环502、上电极504、下电极508、气体源510和排气泵520。气体源510包括保护层气体源512、蚀刻剂气体源514和另外的气体源516。在等离子体处理室500内,其上沉积了氧化层的基板晶片580位于下电极508之上。下电极508装有用于支撑基板晶片580的合适的基板夹具装置(例如,静电的、机械夹具等)。反应器顶部528装有直接设置在下电极508对面的上电极504。上电极504、下电极508和限制环502限定了受限的等离子体体积540。气体经由气体入口543通过气体源510供应给受限的等离子体体积,并经由限制环502和排气口通过排气泵520排空受限的等离子体体积。排气泵520形成用于等离子体处理室的气体出口。RF源548电连接到下电极508。室壁552限定了其中设置限制环502、上电极504和下电极508的等离子体外壳。RF源548可包括27MHz的电源和2MHz的电源。能够实现RF功率连接到电极的不同组合。
在本发明的优选实施例中可使用被修改以提供本发明所需循环时间的加利福尼亚、弗里蒙特的Lam Research CorporationTM制造的2300ExelanTM电介质蚀刻系统。控制器535可控地连接到RF源548、排气泵520、连接到沉积气体源512的第一控制阀537、连接蚀刻气体源514的第二控制阀539和连接另外的气体源516的第三控制阀541。喷嘴可连接到气体入口543。气体入口543可以是用于各气体源的单个入口或用于各气体源的不同入口或用于各气体源的多个入口或其它可能的组合。
然后为调制蚀刻准备该结构(步骤308)。这种准备可包括如打开BARC层的步骤。
然后进行气体调制的循环蚀刻工艺(步骤312)。在气体调制循环蚀刻工艺期间,处理室500在至少两个阶段之间调制。一个阶段是优化形成保护层的步骤(步骤316)。另一个阶段是优化用于蚀刻的步骤(步骤326)。通过同步调制气流速和可能地RF功率、表面温度和气压获得在这些阶段之间的交替。在优选实施例中,总的循环时间不大于约21秒。更优选地,总的循环时间在0.01至10秒内。最优选地,总的循环时间在0.5至5秒内。优选地,循环时间比(保护:蚀刻)在0.01和20之间。更优选地,循环时间比(保护:蚀刻)在0.05和5之间。最优选地,循环时间比(保护:蚀刻)在0.2和1之间。优选地,进行气体调制在约3至50,000个循环之间。更优选地,进行气体调制约20至1000个循环。最优选地,进行气体调制至少约100个循环。
在优化形成保护层的阶段期间(步骤316),在蚀刻部件的侧壁上和可能在蚀刻掩模的顶部上沉积保护层。沉积可以是不对称的,以便形成沉积量相比侧壁上更优选在掩蔽材料上。这可通过沉积源的位置视线以及通过所选择沉积工艺的选择性质辅助获得。换句话说,可以由于材料的化学反应性的不同优选在掩蔽材料上形成涂层的这种方式来选择沉积化学剂。如图4B所示,形成在光致抗蚀剂掩模404顶部上的保护层412比形成在光致抗蚀剂掩模底部暴露出的氧化物表面上和光致抗蚀剂掩模侧壁上的保护层412厚。应当注意到,各图的其它尺寸的关系可以不成比例。例如,相比掩模和蚀刻层的厚度,保护层的厚度可以不成比例,但为了清楚起见这种保护层可绘制得厚些。在优选实施例中,利用等离子体增强化学气相沉积(CVD)工艺在蚀刻室中进行原位沉积,其在光致抗蚀剂的侧壁上沉积薄的保护层。沉积工艺可应用一些离子轰击能量以允许这种沉积的选择性。在这种工艺中,侧壁的厚度可以约为掩模顶部上的层厚度的三分之二。
在其它实施例中,可随着蚀刻前沿行进经由被蚀刻的材料来改变保护层的厚度和空间分布而改变处理条件。例如,为了保护侧壁不受随后蚀刻的进一步变形,希望随着蚀刻进行得更深些,在被蚀刻的膜侧壁上形成较厚的涂层。随着蚀刻进行,为此可提供循环处理条件的变化。由于层形成和蚀刻是该循环的分开阶段,所以对于这种结果可优化用于该层形成阶段的工艺条件而没有干扰蚀刻阶段。可选地,随着该蚀刻进行可调节总的循环时间和/或循环时间比以提供这种变化,而对各个阶段的工艺参数没有任何改变。在另一优选实施例中,保护层可仅沉积在侧壁上。
在保护层形成阶段期间,沉积气体的氟与碳的比不大于2∶1。可用于等离子体增强CVD的沉积化学剂的例子可以是,但不局限于CH3F、CH2F2、C2H5F、C3H7F、C2H3F、CH4、C2H4、C2H6、C2H2、C3H8和SiH4、Si(CH3)4、Si(C2H5)4。优选这些化学剂是没有卤素的或具有不大于2∶1的卤素与碳比。不受理论限制,相信碳基化学剂形成薄的抗蚀刻非晶碳层。使用硅烷SiH4在光致抗蚀剂上方形成非晶硅层(或多晶硅层)。另外,存在一些F和H组分改性该保护层。在合适的离子轰击下,可使用存在其它元素,如F,以对不同的材料表面产生选择性活度,以便沉积优选出现在一种材料上而不是其它材料上,如在光致抗蚀剂掩蔽材料上而不是在SiO2层上。可使用其它的方法如溅射来形成保护层。
为了完成气体调制循环处理,可如下实施蚀刻系统参数的同步控制。为了在循环开始启动保护层形成阶段,控制器535会使第一阀537允许来自沉积气体源512的沉积气体进入处理室500中,同时使第二阀539防止来自蚀刻剂气体源514的蚀刻气体进入处理室。控制器535还控制由RF源548提供的功率和与阀控制同步的排气泵520。还使用控制器来控制晶片区中的气压、晶片背面的He冷却压力、基板上的偏压和与阀控制同步的各种温度。表I是在本发明优选实施例中循环工艺的保护层形成阶段中使用的一些参数的表。
表I
  优选的范围   更优选的范围   最优选的范围
  偏置电压   >50伏   >100伏   >300伏
  偏置能量   >50eV   >100eV   >300eV
可通过在基板上方的上电极和基板下方的下电极之间设置恒定电压来提供该偏置。在优选的实施例中,通过施加由RF功率发生器提供的射频(RF)电压,可以在支撑晶片材料的基板上形成负电性(由此将偏压施加到晶片上)。这具有以由RF电压的幅度控制的负电性确定的能量将带正电的粒子拉向电偏置基板的效应。因此,能够通过控制施加到基板支架上的RF功率(因此RF电压)提供和改变离子轰击能量。
在循环蚀刻工艺312中保护层形成阶段316是独立的阶段,循环蚀刻工艺312可包括不同材料的不同蚀刻应用所需要的沉积气体的不同组合,其中沉积可在包括掩模部件的蚀刻部件周围提供保护涂层。优选地,专用于这一阶段的循环时间约为0.005至7秒。更优选地,专用于这一阶段的循环时间约为0.05至5秒。最优选地,专用于这一阶段的循环时间约为0.25至2.5秒。优选地,在单个保护层形成阶段的持续时间在顶部和/或侧壁上形成小于100厚的层。更优选地,在单个保护层形成阶段的持续时间在顶部和/或侧壁上形成约0.1和50之间的层。最优选地,在单个保护层形成阶段的持续时间在顶部和/或侧壁上形成约1和10之间的层。在小于约10层厚度的情况下,可将覆盖更精确地描述为单层的一部分。在一个实施例中,保护层在单个保护层形成阶段的持续时间形成单个单层。在另一实施例中,保护层形成子单层,其是没有用单原子或分子层完全覆盖表面的层,而是在单个保护层形成阶段的持续时间代替可提供一定百分比(即,75%)的表面覆盖。
蚀刻阶段320在循环蚀刻工艺312中是独立的阶段,进行循环蚀刻工艺312以行进蚀刻前沿460,产生蚀刻部件416(步骤320),如图4C所示。蚀刻应用可包括,但不局限于电介质接触蚀刻、包括高的纵横比接触(HARC)、镶嵌蚀刻、电介质沟槽蚀刻(浅或深的)、自对准接触蚀刻、栅极掩模开口蚀刻、通孔电介质蚀刻、双镶嵌通孔蚀刻、双镶嵌沟槽蚀刻、导体栅极蚀刻、导体深沟槽蚀刻、导体浅沟槽隔离蚀刻和硬掩模开口。
优选地,蚀刻阶段使用高的离子能量以提供定向蚀刻。蚀刻阶段在单个蚀刻阶段的持续时间可移除如所示出的一些或所有的保护层412。在单个蚀刻阶段的持续时间可移除在一些表面上的所有保护层。在该例子中,已移除了在光致抗蚀剂404上和该部件底部形成侧壁的保护层。可只部分地移除保护层的其它部分。在该例子中,已移除了在光致抗蚀剂404顶表面上的保护层412的仅一部分。在其它实施例中,可部分地蚀刻掉或完全地蚀刻掉该保护层的其它部分。该蚀刻阶段移除了待蚀刻的层的一部分,且蚀刻前沿560前进。
为了提供循环的蚀刻阶段,控制器535会使第二阀539允许来自蚀刻剂气体源514的蚀刻气体进入处理室500中,同时使第一阀537以防止来自沉积气体源512的沉积气体进入处理室。控制器535还可控制由RF源548提供的功率和与该阀控制同步的排气泵520。还可使用控制器来控制晶片区中的气压、晶片背面的He冷却压力、基板上的偏压和与阀控制同步的各种温度。通过返回到以上描述的保护层形成阶段和通过重复循环的阶段之间的交替,只要需要循环蚀刻工艺,循环就继续。如果存在在循环的保护层形成阶段和蚀刻阶段中希望的共用的气体或气体混合物,则在循环的两个阶段期间,控制器535会使第三阀541允许来自共用的气体源516的共用气体进入处理室。
由于循环工艺的蚀刻阶段使用高的能量离子以提供定向蚀刻,所以在蚀刻阶段期间可提供聚合物形成体气体。聚合物形成体气体例如可以是碳氢化合物、碳氟化合物和碳氟氢化合物,如C4F6、C4F8、CH3F、CH2F2、CH4、C3F6、C3F8和CHF3。这些聚合物形成体气体将形成在蚀刻阶段期间被连续沉积和蚀刻的聚合物层。
表II是在本发明优选实施例中循环工艺的蚀刻阶段中使用的一些参数的表。
表II
  优选的范围   更优选的范围   最优选的范围
  偏置电压   >200伏   >300伏   >400伏
  偏置能量   >200eV   >300eV   >400eV
优选地,专用于这一阶段的循环时间约为0.005至14秒。更优选地,专用于这种阶段的循环时间约为0.05至7秒。最优选地,专用于这种阶段的循环时间约为0.25至2.5秒。优选地,在单个蚀刻阶段的持续时间蚀刻的深度增加少于500。更优选地,在单个蚀刻阶段的持续时间蚀刻的深度增加了约5和250之间。最优选地,在单个蚀刻阶段的持续时间蚀刻深度增加了约10和50之间。在单个蚀刻阶段中在小于约10蚀刻深度变化的情况下,可将这种变化更精确地描述为在单个蚀刻阶段期间移除的单层材料的一部分。在一个实施例中,在单个蚀刻阶段的持续时间移除的材料的量约为一个单层。在另一实施例中,在单个蚀刻阶段的持续时间移除的材料的量小于一个单层。
各图中部件的深度可不按照比例。例如,由于每个循环小的蚀刻改变可能难以图示,所以蚀刻深度可表示得比实际的蚀刻深度大得多。
重复循环工艺许多个循环。在光致抗蚀剂掩模上沉积另外的保护层418,如图4D所示。在该例子中,旧保护层的残留部分变成新保护层418的一部分。然后经由光致抗蚀剂掩模进一步蚀刻该部件(步骤312),提供更深的接触孔416,如图4E所示。优选地,提供交替的沉积和蚀刻阶段的该气体调制的循环或环路重复超过3次。更优选地,重复这种循环超过20次。最优选地,重复这种循环至少100次。
当希望不再进一步蚀刻时,完成了气体调制的循环工艺(步骤312)。在最后的循环中,蚀刻阶段可完全地蚀刻掉保护层,如图4E所示。然而,在循环蚀刻工艺之后还可使用随后的处理步骤,来移除保护层和/或完成氧化层408的蚀刻。可进行另外的工艺步骤,如剥离光致抗蚀剂掩模,以产生具有接触孔416的氧化层408,如图4F所示。可在处理室500中剥离光致抗蚀剂掩模或从处理室500移出之后剥离光致抗蚀剂掩模。还需要另外的工艺步骤来移除接触孔底部的膜。
在可替换实施例中,可在完成氧化物蚀刻之前终止气体调制的循环工艺,允许结合常规的蚀刻步骤以完成该蚀刻。这用作控制对氧化层下面的停止层的选择性的手段是所希望的。
用于光致抗蚀剂掩模的材料的例子可包括,但不局限于新一代的光致抗蚀剂,如深UV光致抗蚀剂、193nm的光致抗蚀剂、157nm的光致抗蚀剂、EUV光致抗蚀剂、电子束光致抗蚀剂和x射线光致抗蚀剂。设计旧一代的光致抗蚀剂聚合物材料以包含不饱和的C-C键,如C-C双键和酚醛基团,以提供所需要的高耐蚀刻性,即对蚀刻气体混合物的化学惰性。这些键是强的,且需要高激活能量用于断开,因此,以相对低的离子能量,旧一代的光致抗蚀剂会显示出对蚀刻气体混合物的显著低的蚀刻率。包括193nm和157nm的新一代光致抗蚀剂可不包含这些不饱和键,因为这些不饱和的键在光刻曝光波长吸收。没有这些不饱和键会引起更减小的光致抗蚀剂耐蚀刻性。在循环工艺蚀刻期间通过在光致抗蚀剂上提供保护涂层,甚至以高的轰击能量更多地提高了光致抗蚀剂的耐蚀刻性。本发明可提高光致抗蚀剂耐蚀刻性的高的离子轰击能量可以是50-2,000eV。更优选地,离子轰击能量可以是200-1,500eV。最优选地,离子轰击能量是500-1,000eV。
不受理论限制,相信循环处理提供了不同的处理方式,因为以短的时间比例沉积和蚀刻的极薄的膜的性质都与较厚的膜的性质不同。利用具有短循环时间的气体调制的循环处理方式,沉积了极薄的保护层,如侧壁膜或顶部光致抗蚀剂表面上的膜。在循环的下一个阶段期间,随后以非常少量蚀刻这种膜和氧化物膜。薄的保护层厚度可在单层范围内(即,亚单层、单层或几个原子或分子的层)。
单层范围的薄保护层的制造依赖于沉积速率乘以沉积时间。可使用各种沉积速率和沉积时间的组合,以在单层范围内提供薄的保护层。例如,当沉积步骤是每循环0.25-0.5秒时,提供接近1nm/sec的侧壁沉积速率和接近2nm/sec的顶表面沉积速率的沉积提供了具有接近0.5nm厚的单层范围的薄保护层(即,1nm/sec沉积速率×0.5秒=0.5nm沉积)。可通过增加沉积速率和降低循环时间或者通过降低沉积速率和增加循环时间来实现相同的单层范围。该灵活性提供了另外的控制变量。
不受理论限制,进一步相信,随着保护膜的厚度接近组成分子的尺寸,例如接近单层覆盖,膜可采用与保护膜的大体性质不同的化学和物理性质。以这种方式,薄膜概念不再是可应用的且考虑存在于材料的表面和近表面区的化学物质的混合物可能更精确。这样的物质可存在为宽松束缚的物理吸附物质、更紧束缚的化学吸附物质、或较大结构的一部分,例如聚合物分子、玻璃或体晶体。这些表面和近表面物质将包括在循环处理的保护层阶段期间沉积的保护物质,但还可包括在循环工艺的蚀刻阶段期间沉积或涉及物质以及来自原始基板或来自各个物质之间的化学反应产生的其它物质。近似单层方式的独特性质可能起因于这些不同表面和近表面物质彼此之间以及与基板材料的相互作用。在较厚保护膜的情况下将抑制这些相互作用,其会在每个保护层阶段中用几个单层或更多来覆盖基板,因此在下一个蚀刻阶段开始时仅暴露出保护材料的表面。
不受理论限制,进一步非常相信,在每个循环内表面接受受限的通量,对应于在每个单个保护和蚀刻阶段期间的亚单层覆盖的极端情况下,实现了真实的新颖的工艺方式。在这种情况下,即使实际用于控制该工艺,交替工艺步骤的概念在显微尺寸也变成不准确的。在显微尺寸,表面反应将基于物质的到来和离开以及这些物质的化学反应继续进行。反应连续地出现,但被高能物质如离子的偶然撞击不时打断,其会驱动超热的反应。在这些简要的瞬间激发期间,大多数的临界反应出现了。在循环的亚单层方式中,表面好象是准稳态的,到达表面的反应物流量基本是两个不同等离子体条件的平均值,反应在这些物质的混合物之间发生。
相信这是与传统的、单步骤、稳态蚀刻的基本不同的方式,因为到达表面物质的混合物由两个不同的等离子体条件产生。如果气体调制的循环工艺各阶段的工艺条件合并成单稳态方式的步骤,则将由于等离子体中不同气体的相互反应而改变得到的到达表面的物质的时间平均的流量。相信,通过用气体调制的循环处理及时地分离等离子体条件,可以将到达表面物质的总混合物控制到空前的度。由于调制气体化学剂的能力,所以用于循环的两个不同阶段的条件可以是很不同的。结果,在循环的不同阶段中可以产生非常不同的化学物质,以获得用单步骤稳态工艺不可能得到的混合物。这种混合物是来自通过循环的交替阶段产生的两个分立的等离子体条件影响的线性组合。这些影响的比率通过循环时间比控制。因此循环时间比变成另外的工艺控制变量。
气体调制的循环处理方式能够提供以短的循环时间方式易得到的近单层和亚单层覆盖(单层范围)的方式。通过足够地增加循环时间,还可获得具有许多单层厚度的、与维持的蚀刻条件交替的体保护层的方式。在循环时间的这两个极端之间,可获得行为的连续,以允许对该方式的两个极端为特征的希望和不希望结果的平衡。因此,本发明的气体调制的循环处理提供以该连续性提供所有这些方式的灵活性。因此总的循环时间变成另外的工艺控制变量。
图8A-E示意性地示出了利用亚单层以快循环的方式在表面上建立材料。在该例子中,循环工艺的每个阶段将物质添加到表面位置,但在不同的阶段产生不同的物质。这由不同阶段的表面上方的黑和白圆之间的交替表示。这些圆表示在气相和表面上的沉积体分子。在图8A中,初始的侧壁表面804示出了表面位置806未被占用。图8B示出了循环工艺的第一阶段的效果,其中由第一阶段的等离子体条件产生的沉积体分子的第一物质808沉积在表面804的表面位置806上。注意到,在第一阶段的第一应用期间并不是所有的表面位置806都被占用了。图8C示出了第二阶段的效果,其中由于气体化学剂的调制和可能的其它工艺参数,由第二阶段的等离子体条件产生的第二物质812沉积体分子与第一阶段的沉积体分子的第一物质808不同。在第二阶段的这种应用时,将少于一个单层添加到表面覆盖。图8D示出了循环工艺的第一阶段的下一个应用的效果。在这一应用中,完成了单层覆盖,且第二层开始形成。图8E示出了在几个循环之后的结果,其是具有由在第一和第二阶段中产生的不同物质808、812组成的每个层的混合膜。
图9A-D示意性地示出了以较慢循环的方式在表面上建立材料。这通过图8A-E中的例子的相同条件而获得,总循环时间仅增加到约十倍。在图9A中,初始表面904示出了表面位置906未被占用。图9B示出了循环工艺的第一阶段的效果,其中由第一阶段的等离子体条件产生的沉积体分子的第一物质908沉积在侧壁表面904的表面位置906上。在该情况下,在第一阶段的第一应用期间增加了表面覆盖层的几个单层。图9C示出了循环工艺的第二阶段的效果,其中由第二阶段的等离子体条件产生的沉积体分子的第二物质912沉积在由沉积体分子的第一物质908形成的层上。在第二阶段的第一应用期间增加了几个单层的表面覆盖。图9D示出了在一又二分之一个循环之后的结果,其是两个不同膜的交替叠层,具有由第一物质908和第二物质912的层组成的多层膜,每一层都是在循环工艺的单个阶段期间产生的。
提供这些例子以示出当总的循环时间变得可与沉积表面的单个单层所需的时间相比时可获得的质量不同的显微结果。只是单独地基于总循环时间的变化,相信在这两个例子中产生的不同表面膜会对应于晶片结构上的不同工艺结果。这是简单的例子,沉积作为仅有表面机理,但可将相似的理论应用到表面机理的更复杂的结合上。例如,当总的循环时间变得可与沉积或蚀刻表面的单个单层所需的时间相比时,通过循环工艺的交替阶段交替暴露到沉积体和蚀刻剂物质的表面还能够显示出修改的行为。
如上所讨论的,相信通过使用交替的保护和蚀刻步骤,可减小条痕和刻面,且可提供更好的蚀刻控制。不受理论限制,相信可控制和改进经由气体调制的循环处理和交替方式的保护能力可获得的接近单层和亚单层保护覆盖的方案,以提供有助于减小条痕和刻面的独特性质以及提供更好的蚀刻控制。
待蚀刻的层可以是电介质层(如氧化硅)、导电层(如金属和硅或其它类型的半导体)、硬掩模层(如氮化硅和氮氧化硅)或阻挡层(如氮化硅或碳化硅)。对于蚀刻导电层,在蚀刻步骤中可使用卤素,如氯、氟或溴,其中沉积可包含用于沉积富碳薄膜或包含Si的薄膜的化学剂。优选地,待蚀刻的层是电介质材料,如氧化硅、掺杂的硅酸盐玻璃或低k电介质膜,低k电介质膜如有机硅酸盐玻璃或SiLK。
当交替地提供用于形成保护层的反应剂和用于蚀刻的反应剂时,可通过利用用于形成保护层和蚀刻的同一载气气流进行气体调制的循环处理步骤。另外,可与气体流同步地脉冲调制RF功率、温度和/或压力,以提供在气体调制的循环工艺中用于每个阶段的最佳条件。
在另一实施例中,载气的整个气体混合物和反应剂交替。此外,可与气体流同步地脉冲调制RF功率、温度和/或压力,以提供在循环工艺中用于每个阶段的最佳条件。在另一实施例中,相同的气体可用于两个阶段,但改变了用于每个阶段的相对的流量比。因此,为了提供在气体调制循环工艺的两个不同阶段之间的不同气体化学剂,能够使用两个完全不同的气体,或使用相同的载气和不同的活性气体,或使用具有不同相对流速的相同气体。
在相同载气流使用用于形成保护层和蚀刻的不同气体化学剂的例子中,在保护层形成阶段期间,没有将来自蚀刻剂气体源的蚀刻剂气体提供给等离子体处理室。这可通过不提供蚀刻气体或沉积气体的成分而进行。例如,氧或含氧气体是蚀刻气体的重要蚀刻成分。即使在蚀刻剂气体中还使用了C4F6,在该例子中由没有氧的C4F6也不能完成蚀刻。因此,即使在保护层形成期间提供了C4F6,通过在保护层形成阶段不提供氧或含氧气体也是在保护层形成阶段期间不提供蚀刻气体的方法。还优选,保护层工艺的形成是用于形成保护涂层的非蚀刻的或至多(包括小于10%的层待蚀刻)可忽略的蚀刻。由于CVD和溅射不用于蚀刻,所以这种沉积工艺可以是,但不局限于等离子体增强CVD沉积或溅射。如果沉积气体与蚀刻阶段中的聚合物形成体相同,则在蚀刻阶段期间可提供沉积气体。另外,在蚀刻阶段期间的偏置功率可以是较高的以提供定向蚀刻。
在蚀刻阶段期间提供分离的沉积阶段和存在聚合物形成体以提供聚合,允许使用用于较高的蚀刻速率和较好的各向异性蚀刻的较高能量蚀刻离子。通过在蚀刻阶段混合物中保持钝化气体,能够使用较高的离子能量,而不会使蚀刻掩模受到腐蚀和损伤。另外,在蚀刻阶段的持续期间可以实现各向异性蚀刻。通过使用具有交替的保护层形成阶段和蚀刻阶段的循环工艺,可以优化掩模保护。这种方式避免了在放电时蚀刻和缓聚气体的相互作用。例如,可选择沉积化学混合物形成比通过蚀刻混合物制造的更硬和更耐用的涂层。另外,可定制沉积化学条件,如压力和浓度,以优化保护层形成的性质,如组分和厚度。
希望沉积气体的一些成分没有与蚀刻气体的成分混合,因为一些混合降低了具有分离沉积和蚀刻阶段的功效。结果,在这种情况下控制器使调制的气体流同步,使得在增加另一种气体之前耗尽了一种气体。
通过具有独立的保护层形成过程和蚀刻-钝化阶段,可独立地控制处理条件如温度、功率、压力、离子能量和处理气体改变,以为每个阶段提供优化条件。
可使用氩或其它惰性气体作为在蚀刻和保护层形成过程期间的载气。另一种惰性气体的例子是氖。
在本发明的实施例中,使接触等离子体(化学剂和由放电维持的带电粒子的混合物)的室壁区尽可能的小,且保持在提高的温度。这样做的本目的在于避免所谓的室“存储器”效应,通过其可以释放在一个处理步骤中形成的室壁区域涂层中包含的化学元素以干扰随后的步骤。通过使室壁区上的总沉积减到最小,可以减小这种效应,避免可使性能退化的两个不同阶段之间的相互作用。
还希望,使从前体源到处理室的气体行进时间非常短。使表示建立恒定的希望的流动的时间和在处理室建立完全的没有所述气体的时间的气体流动稳定性时间非常短的,使得从一种稳定的气体混合物成分到下一种的过渡可以非常快。本目的在于避免会使性能退化的两个不同阶段之间的化学剂的相互混合。
还希望,控制电能转换成放电的电系统和控制网络相对于放电条件和功率需求的改变反应非常快。此外,希望能够快速地改变和稳定处理室的其它外部条件,如气体混合物的压力和晶片基板的温度。允许这种工艺条件快速改变允许了更短的总循环时间,并且允许工艺条件在这些阶段之间显著地改变以使每个阶段独立地优化。因此,还希望具有能够控制和使处理条件的快速调制同步的计算机化系统。使用计算机系统传送需要周期改变的指令,并且利用在处理室中用于提供多个条件改变的各种器件的预先确定的时间延迟使这些指令同步。
本发明的其它实施例可提供循环工艺的一个或多个另外的阶段。例如,气体调制的循环工艺可具有六个阶段,如在单个循环中的三个沉积阶段和三个蚀刻阶段。可通过每个循环增加的复杂度限制另外阶段的增加。
另一实施例在蚀刻阶段期间可不使用提供聚合物气体。本发明的另外实施例可提供两个蚀刻阶段,代替沉积阶段和蚀刻阶段。在这种实施例中,一个蚀刻阶段可以是产生锥形侧面的蚀刻工艺条件,而第二蚀刻阶段可以是产生弓形侧面的蚀刻工艺条件。以短的循环时间的方式,循环的每个阶段可修改被蚀刻表面的少于一个、约一个或几个单层。在该情况下,两个不同蚀刻阶段之间的交替会产生精确的工艺控制能力。此外气体调制的循环方式提供了将物质的混合物提供给由单个步骤稳态条件不能产生的表面的能力。容易通过循环时间比控制在每个阶段中产生的物质之间的比。本发明的另一实施例可提供两个沉积阶段和单个蚀刻阶段。本发明的另一实施例可提供单个沉积阶段和两个蚀刻阶段。本发明的另一实施例可提供一序列的气体调制的循环工艺,每个循环工艺由总的循环时间、循环时间比和/或各个阶段的工艺条件进行区别。这些阶段条件包括气体成分、气体流、RF功率、压力和/或温度。
例子
本发明的具体例子,如应用于蚀刻HARC结构上,使用由加利福尼亚、弗里蒙特的Lam Research CorporationTM制造的ExelanHPT电介质蚀刻系统用于处理室500。在该例子中使用的晶片包括2.1μm的SiO2层、图案化的光致抗蚀剂掩模和在SiO2层与光致抗蚀剂掩模之间的底部抗反射涂层(BARC)。利用具有四乙基原硅酸盐(TEOS)前体的等离子体增强CVD沉积在该例子中使用的SiO2层。利用193nm的光刻图案化光致抗蚀剂掩模,以制造0.16μm或更小的接触临界尺寸(CD)。
在该例子中,用于气体调制的循环蚀刻的结构的准备(步骤306)是BARC蚀刻步骤。在该例子中,BARC蚀刻步骤可以是许多公知的BARC蚀刻步骤的一种。
一旦完成了BARC蚀刻步骤,则在Exelan HPT电介质蚀刻系统中进行循环工艺。在该例子中,其使用没有修改的Exelan HPT电介质蚀刻系统,在每个循环中两次熄灭(extinguish)了等离子体:在保护层形成阶段316的结束和蚀刻阶段320的结束。熄灭等离子体允许在过渡周期中的灵活性。在该情况下,需要几秒来稳定气体流和压力,以为处理的下一个阶段做准备。但借助等离子体熄灭,这些过渡对处理结果有很少的或没有冲击。为了允许在每个蚀刻阶段的开始再点火等离子体,蚀刻阶段的初始2秒利用了比该阶段剩余时间高的压力和低的RF功率。蚀刻阶段的该撞击部分认为是整个蚀刻阶段时间的一部分。在计算总的处理时间、总的循环时间和循环时间比中,只考虑了等离子体接通时间周期。因此,名义上320秒的循环工艺事实上在实际时间中执行占用了更长的时间。该低效率的时间利用是这种方式的主要缺点。然而,该方式对未改进系统提供了创造性结果。
由以下的工艺参数限定循环工艺312的保护层形成阶段316。晶片区域中的压力是120毫托,具有在27MHz施加的500瓦RF功率和在2MHz施加的500瓦。工艺气体流是500sccm的氩和30sccm的CH3F。将静电卡盘放置在35℃的温度下。将背面卡盘氦压力设置在15托。在该例子中,沉积气体源512提供CH3F,该气体在蚀刻期间没有提供。由于在沉积和蚀刻期间提供了氩,所以氩可由另外的气体源516提供。为了启动保护层形成阶段,控制器535将打开第一阀537并关闭第二阀539。控制器还将控制来自该另外气体源的氩的流动。控制器535将控制如以上特定的功率和其它参数。
通过以下的工艺参数限定循环工艺312的蚀刻阶段320。晶片区域中的压力是55毫托,具有在27MHz施加的1000瓦RF功率和在2MHz施加的1800瓦。工艺气体流是270sccm的氩、9sccm的C4F6和10sccm的O2。C4F6是聚合物形成体气体,其在蚀刻期间提供了聚合作用。O2是蚀刻使能气体。虽然在蚀刻中使用了来自C4F6的氟,但在该例子中的氟需要存在使能蚀刻的氧。将卡盘放置在35℃的温度下。将背面卡盘氦压力设置在15托。在该例子中,蚀刻剂气体源514提供了C4F6和O2,所述气体在保护层形成阶段期间没有提供,虽然没有氧的C4F6可用于沉积。为了启动蚀刻阶段,控制器535将关闭第一阀537并打开第二阀539。控制器还将控制来自另外气体源的氩的流动。控制器535将控制功率和如以上特定的其它参数。
在该例子中,首先进行BARC蚀刻50秒(步骤308)。接下来,进行循环工艺320秒(步骤312),其中没有将等离子体关断的持续时间计算在阶段的时间或总的循环时间内。保护层形成阶段316的持续时间是2秒。蚀刻阶段320的持续时间是6秒,包括2秒的撞击条件。因此总的循环时间是8秒,循环时间比是1∶3(保护层形成阶段:蚀刻阶段)。重复该循环40次。在完成循环工艺之后(步骤312),剥离了光致抗蚀剂。
图6和7是扫描电子显微照片,示出了在用于接触开口的具有0.16μm额定临界尺寸的密集阵列接触中蚀刻的结果。总的蚀刻深度不足以到达氮化硅停止层,所以这些结果表示了部分的蚀刻工艺,如同常用于估定蚀刻性能。
注意到,上述接触显示出了轻度的条痕,如在圆604的形状中不规则性。不进行循环处理,对于该蚀刻应用条痕一般是非常糟的事。
图7是在PR剥离之后的蚀刻接触704的轮廓图。注意到,蚀刻轮廓是相当垂直的,其仅在顶部附近略弓。在部件底部附近存在锥形,这对于部分蚀刻是常见的。一般在部件蚀刻完成时例如当暴露出停止层时移除这种锥形。蚀刻深度约为2μm。没有明显的蚀刻停止,其可看出如显示出比其它接触小许多的蚀刻深度的一些接触。总的这些蚀刻结果示出了循环工艺能够蚀刻具有合理的蚀刻轮廓高纵横比的接触、低的条痕和没有蚀刻停止。虽然该例子没有被完全地优化,但该例子有助于显示出本发明可提供优良的性能。
优选的实施例改进了工艺设备,使得设备能够提供优选的工艺,其提供了具有流动稳定时间<1秒的快速气体调制。在这种实施例中,等离子体将保持点火循环工艺312的持续时间,使得没有等离子体关断时间。
图10A和10B示出了计算机系统1000,其适合于实现在本发明的实施例中使用的控制器535。图10A示出了一种可能的物理形式的计算机系统。当然,计算机系统可具有范围从集成电路、印刷电路板和小的手持器件直至巨大的超级计算机的许多物理形式。计算机系统1000包括监视器1002、显示器1004、外壳1006、盘驱动器1008、键盘1010和鼠标1012。盘1014是用于将数据传送给计算机系统1000和从计算机系统1000传送数据的计算机可读媒质。
图10B是用于计算机系统1000的方块图的例子。连接到系统总线1020的是多种子系统。处理器1022(还称为中央处理单元,或CPU)耦合至存储器件,包括存储器1024。存储器1024包括随机存取存储器(RAM)和只读存储器(ROM)。如本领域技术人员公知的,ROM用于单方向传送数据和指令给CPU,且RAM一般用于以双方向方式传送数据和指令。这些类型的存储器可包括以下描述的任何合适的计算机可读媒质。固定磁盘1026还双向耦合至CPU1022;它提供了另外的数据存储容量,且还包括以下描述的任何的计算机可读媒质。固定磁盘1026可用于存储程序、数据等,且一般是比主存储慢的二级存储媒质(如硬盘)。将意识到,在合适的情况下,可以标准方式结合保留在固定磁盘1026内的信息,如存储器1024中的虚拟存储器。可移动盘1014可采用以下描述的任一计算机可读媒质的形式。
CPU1022还耦合至各种输入/输出器件,如显示器1004、键盘1010、鼠标1012和扬声器1030。通常,输入/输出器件可以是任一种:视频显示器、跟踪球、鼠标、键盘、扩音器、触敏显示器、传感器读卡机、磁性的或纸带阅读器、书写板、触针、声音或书写识别器、生物测定阅读器或其它计算机。CPU1022任选地可耦合至另一计算机或利用网络接口1040的电信网络。利用这种网络接口,在执行以上描述的方法步骤过程中,期望CPU可接收来自网络的信息,或可将信息输出给网络。此外,本发明的方法实施例可单独地在CPU1022上执行,或在网络上执行,如与共享处理的一部分的遥控CPU结合的因特网。
另外,本发明的实施例进一步涉及具有计算机可读媒质的计算机存储产品,其具有在其上用于执行各种计算机实现的操作的计算机代码。媒质和计算机代码可以是用于本发明目的的那些专门设计和构造的,或者它们可以是计算机软件领域的技术人员公知的且可获得的。计算机可读媒质的例子包括,但不局限于:磁性媒质,如硬盘、软盘和磁带;光学媒质,如CD-ROM和全息器件;磁光媒质如光磁软盘;和专门设置存储和执行程序代码的硬件器件,如专用集成电路(ASIC)、可编程逻辑器件(PLD)和ROM及RAM器件。计算机代码的例子包括如由编译器生成的机器代码,和包含由利用翻译器通过计算机执行的高级代码的文件。计算机可读媒质还可以是由具体化为载波的计算机数据信号传输并表示由处理器执行的一系列指令的计算机代码。
虽然已根据几个优选实施例描述了本发明,但存在变形、置换、改进和各种替代等效物,它们都落入本发明的范围内。还应当注意,存在执行本发明的方法和设备的许多可替换的方式。因此意指以下所附的权利要求解释为包括如落入本发明的真实精神和范围内的所有的这种变形、置换、改进和各种替代等效物。

Claims (19)

1.一种经由基板上方的蚀刻掩模在层中蚀刻部件的方法,包括:
进行气体调制的循环工艺大于三个循环,其中每个循环包括:
利用具有沉积气体化学剂的第一气体化学剂执行保护层形成阶段,其中对于每个循环,执行保护层形成阶段约0.0055至7秒,包括;
提供沉积气体;和
由该沉积气体形成等离子体;和
执行蚀刻阶段,用于利用使用反应蚀刻气体化学剂的第二气体化学剂经由该蚀刻掩模蚀刻该部件,该第一气体化学剂不同于该第二气体化学剂,其中对于每个循环,蚀刻阶段进行约0.005至14秒,包括:
提供反应蚀刻气体;以及
由反应蚀刻气体形成等离子体。
2.如权利要求1所述的方法,其中该保护层形成阶段形成小于100厚的层。
3.如权利要求1所述的方法,其中该保护层形成阶段形成约1和10厚之间的层。
4.如权利要求1所述的方法,其中该蚀刻阶段包括向该基板提供大于200电子伏的离子轰击能量。
5.如权利要求1所述的方法,其中该第二气体化学剂包含聚合物形成体和蚀刻使能体。
6.如权利要求1所述的方法,其中在共用等离子体处理室中进行该保护层形成阶段和该蚀刻阶段。
7.如权利要求1所述的方法,其中该保护层形成阶段使用不定向沉积,该蚀刻步骤使用定向蚀刻。
8.如权利要求7所述的方法,其中该不定向沉积选自化学气相沉积和溅射中的至少一种。
9.如权利要求1所述的方法,其中该蚀刻掩模是基于193nm或以下的光刻技术的光致抗蚀剂掩模。
10.如权利要求1所述的方法,其中进行该气体调制的循环工艺还包括第三阶段。
11.如权利要求1所述的方法,其中该保护层阶段的每个应用形成亚单层。
12.如权利要求1所述的方法,还包括调节该保护层形成阶段和该蚀刻阶段的时间,以调整该气体调制的循环工艺。
13.如权利要求1所述的方法,其中应用该气体调制的循环工艺大于20个循环。
14.如权利要求1所述的方法,其中应用该气体调制的循环工艺至少100个循环。
15.如权利要求1所述的方法,还包括:
在完全蚀刻该层之前,停止该气体调制的循环工艺;和
提供非循环蚀刻以完成该层的蚀刻。
16.如权利要求1所述的方法,其中每个循环都具有在约0.01至21秒之间的周期。
17.一种经由基板上方的蚀刻掩模在层中形成部件的设备,包括:
处理室,其中可放置该基板;
第一气体化学剂源,用于提供沉积气体化学剂的第一气体化学剂;
第二气体化学剂源,用于提供反应蚀刻气体化学剂的第二气体化学剂;
控制器,可控地连接到该第一气体化学剂源和该第二气体化学剂源,其中该控制器包括计算机可读媒质用于进行气体调制循环工艺大于三个循环,包括:
用于使用具有该沉积气体化学剂的该第一气体化学剂执行保护层形成阶段的计算机指令,其中对于每个循环,进行该保护层形成阶段约0.0055至7秒,包括;
用于提供该沉积气体的计算机指令;和
用于由该沉积气体形成等离子体的计算机指令;和
用于进行蚀刻阶段的计算机指令,用于利用使用反应蚀刻气体化学剂的该第二气体化学剂经由该蚀刻掩模蚀刻该部件,该第一气体化学剂不同于该第二气体化学剂,其中对于每个循环,进行该蚀刻阶段约0.005至14秒,包括:
用于提供该反应蚀刻气体的计算机指令;和
用于由该反应蚀刻气体形成等离子体的计算机指令。
18.如权利要求17所述的设备,还包括:
通过该控制器控制的至少一个rf电源;
通过该控制器控制的至少一个压力控制器件;和
通过该控制器控制的至少一个温度控制器件,其中该控制器还包括计算机指令,用于在调制的循环工艺的不同阶段期间改变来自rf电源的功率。
19.一种用于蚀刻基板上方的层的方法,包括:
进行循环工艺至少3个循环,其中每个循环包括:
进行第一蚀刻阶段,其中对于每个循环,进行该第一蚀刻阶段约0.0055至14秒,包括:
提供第一蚀刻气体;和
由该第一蚀刻气体形成等离子体;和
进行第二蚀刻阶段,其中对于每个循环,执行该第二蚀刻阶段约0.0055至14秒,包括;
提供第二蚀刻气体,其中该第一蚀刻气体不同于该第二蚀刻气体;和
由该第二蚀刻气体形成等离子体。
CNB2004800160255A 2003-04-09 2004-04-01 利用气体化学剂周期调制的等离子体蚀刻的方法和设备 Expired - Fee Related CN100411106C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/411,520 2003-04-09
US10/411,520 US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry

Publications (2)

Publication Number Publication Date
CN1802730A true CN1802730A (zh) 2006-07-12
CN100411106C CN100411106C (zh) 2008-08-13

Family

ID=33298339

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800160255A Expired - Fee Related CN100411106C (zh) 2003-04-09 2004-04-01 利用气体化学剂周期调制的等离子体蚀刻的方法和设备

Country Status (8)

Country Link
US (2) US6916746B1 (zh)
EP (1) EP1611603A4 (zh)
JP (1) JP5087271B2 (zh)
KR (1) KR101083623B1 (zh)
CN (1) CN100411106C (zh)
RU (1) RU2339115C2 (zh)
TW (1) TWI365495B (zh)
WO (1) WO2004093176A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101789372A (zh) * 2010-02-11 2010-07-28 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
WO2011023078A1 (zh) * 2009-08-27 2011-03-03 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
CN102800562A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 脉冲式等离子体蚀刻方法及装置
CN101730930B (zh) * 2007-06-04 2013-04-10 朗姆研究公司 在蚀刻高纵横比结构中降低微负载的方法
CN103578973A (zh) * 2012-07-29 2014-02-12 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
CN103594351A (zh) * 2012-08-15 2014-02-19 朗姆研究公司 利用混合模式脉冲的蚀刻
CN103628075A (zh) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀方法
CN105489464A (zh) * 2011-11-15 2016-04-13 朗姆研究公司 等离子体处理系统中的惰性主导脉冲
CN106663624A (zh) * 2014-06-18 2017-05-10 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN107275202A (zh) * 2016-04-07 2017-10-20 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN107452611A (zh) * 2016-05-20 2017-12-08 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置
CN112912251A (zh) * 2018-10-23 2021-06-04 Hzo股份有限公司 涂覆的基板的等离子灰化

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI270139B (en) * 2005-12-08 2007-01-01 Promos Technologies Inc Etching method and method of fabricating deep trench
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR101001875B1 (ko) 2006-09-30 2010-12-17 엘지이노텍 주식회사 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100965774B1 (ko) * 2007-11-02 2010-06-24 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8227176B2 (en) * 2007-11-02 2012-07-24 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP5284679B2 (ja) * 2008-04-28 2013-09-11 株式会社アルバック プラズマエッチング方法
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US8273663B2 (en) * 2009-11-09 2012-09-25 3M Innovative Properties Company Process for anisotropic etching of semiconductors
JP5264834B2 (ja) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
RU2456702C1 (ru) * 2011-03-16 2012-07-20 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Способ плазмохимического травления материалов микроэлектроники
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
US9214622B2 (en) * 2011-10-17 2015-12-15 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
EP2608247A1 (en) * 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
TWI734201B (zh) 2016-02-22 2021-07-21 日商東京威力科創股份有限公司 圖案化層之循環式蝕刻的方法
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US9997364B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
KR102659567B1 (ko) * 2017-03-03 2024-04-19 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
JP7071175B2 (ja) 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
TWI805162B (zh) 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102475069B1 (ko) 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
DE102018010532B4 (de) 2017-11-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleiterstruktur
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
JP2019212777A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
JP2019212776A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
GB201917988D0 (en) * 2019-12-09 2020-01-22 Spts Technologies Ltd A semiconductor wafer dicing process
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
US11527414B2 (en) 2020-08-18 2022-12-13 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
KR20220028445A (ko) 2020-08-28 2022-03-08 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101730930B (zh) * 2007-06-04 2013-04-10 朗姆研究公司 在蚀刻高纵横比结构中降低微负载的方法
WO2011023078A1 (zh) * 2009-08-27 2011-03-03 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
CN101789372A (zh) * 2010-02-11 2010-07-28 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
CN102800562A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 脉冲式等离子体蚀刻方法及装置
CN105489464A (zh) * 2011-11-15 2016-04-13 朗姆研究公司 等离子体处理系统中的惰性主导脉冲
CN105489464B (zh) * 2011-11-15 2018-02-02 朗姆研究公司 等离子体处理系统中的惰性主导脉冲
CN103578973A (zh) * 2012-07-29 2014-02-12 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
CN103594351A (zh) * 2012-08-15 2014-02-19 朗姆研究公司 利用混合模式脉冲的蚀刻
CN103628075A (zh) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀方法
CN106663624B (zh) * 2014-06-18 2020-08-14 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN106663624A (zh) * 2014-06-18 2017-05-10 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN111816559A (zh) * 2014-06-18 2020-10-23 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN107275202A (zh) * 2016-04-07 2017-10-20 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN107452611A (zh) * 2016-05-20 2017-12-08 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置
CN107452611B (zh) * 2016-05-20 2023-02-28 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置
CN112912251A (zh) * 2018-10-23 2021-06-04 Hzo股份有限公司 涂覆的基板的等离子灰化

Also Published As

Publication number Publication date
KR20050112125A (ko) 2005-11-29
JP2006523030A (ja) 2006-10-05
KR101083623B1 (ko) 2011-11-16
US6916746B1 (en) 2005-07-12
EP1611603A1 (en) 2006-01-04
TW200428515A (en) 2004-12-16
US20050136682A1 (en) 2005-06-23
WO2004093176B1 (en) 2005-01-13
JP5087271B2 (ja) 2012-12-05
RU2005131015A (ru) 2007-04-20
EP1611603A4 (en) 2010-10-13
CN100411106C (zh) 2008-08-13
WO2004093176A1 (en) 2004-10-28
RU2339115C2 (ru) 2008-11-20
TWI365495B (en) 2012-06-01

Similar Documents

Publication Publication Date Title
CN100411106C (zh) 利用气体化学剂周期调制的等离子体蚀刻的方法和设备
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
CN101496141B (zh) 在蚀刻层中提供特征的方法
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
JP4733214B1 (ja) マスクパターンの形成方法及び半導体装置の製造方法
CN101779277B (zh) 用于蚀刻设在反射层下方的介电层的方法和设备
US10763123B2 (en) Method for processing workpiece
JP2006514783A5 (zh)
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN1867695A (zh) 改善沉积的介电膜上的显影后光刻胶外形的方法
TW201818465A (zh) 被處理體之處理方法
CN1839218A (zh) 用于沉积具有可调节的性质的材料的方法和装置
CN110520964A (zh) 用于半导体处理的基于硅的沉积
KR20170045950A (ko) 반도체 장치의 제조방법 및 반도체 공정 챔버의 세정방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080813

CF01 Termination of patent right due to non-payment of annual fee