CN105489464A - 等离子体处理系统中的惰性主导脉冲 - Google Patents

等离子体处理系统中的惰性主导脉冲 Download PDF

Info

Publication number
CN105489464A
CN105489464A CN201510894141.7A CN201510894141A CN105489464A CN 105489464 A CN105489464 A CN 105489464A CN 201510894141 A CN201510894141 A CN 201510894141A CN 105489464 A CN105489464 A CN 105489464A
Authority
CN
China
Prior art keywords
gas
pulse
frequency
radiofrequency signal
different
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510894141.7A
Other languages
English (en)
Other versions
CN105489464B (zh
Inventor
克伦·雅克布卡纳里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105489464A publication Critical patent/CN105489464A/zh
Application granted granted Critical
Publication of CN105489464B publication Critical patent/CN105489464B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/24Radiofrequency or microwave generators

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一种用于对处理腔室中的衬底进行处理的方法,处理腔室具有至少一个等离子体产生源、和用于向腔室提供处理气体的气体源。该方法包含用具有射频频率的射频信号激发等离子体产生源。该方法还包含使用至少第一气体脉冲频率给气体源施加脉冲,使得在气体脉冲周期的第一部分期间,第一处理气体流至腔室,在气体脉冲周期的第二部分期间,第二处理气体流至腔室,气体脉冲周期与第一气体脉冲频率关联。相对于第一处理气体的反应气体比惰性气体的比率,第二处理气体的反应气体比惰性气体的比率较低。第二处理气体通过从第一处理气体去除至少一部分反应气体流而形成。

Description

等离子体处理系统中的惰性主导脉冲
本申请是申请日为2012年11月12日、中国专利申请号为201280056139.7(对应国际申请号为PCT/IB2012/056348)、发明名称为“等离子体处理系统中的惰性主导脉冲”的发明专利申请的分案申请。
优先权要求
本申请根据美国专利法35条119(e)要求如下共同拥有的临时专利申请的优先权:名称为“等离子体处理系统中的惰性主导脉冲”、美国申请号61/560005,由KerenJacobsKanarik于2011年11月15日提交,其全部内容并入本文作参考。
背景技术
等离子体处理系统早已被采用来处理衬底(例如晶片或者平板或者LCD面板),以形成集成电路或者其他电子产品。流行的等离子体处理系统可能包含电容耦合的等离子体处理系统(CCP)或者电感耦合的等离子体处理系统(ICP)等等。
一般而言,等离子体衬底处理涉及离子和自由基(也被称为中性粒子)的平衡。例如,对于与离子相比具有较多自由基的等离子体,蚀刻趋向于更具化学性且各向同性。对于与自由基相比具有较多离子的等离子体,蚀刻趋向于更具物理性,且选择性问题往往出现。在传统等离子体腔室中,离子和自由基趋向于密切耦合。相应地,(相对于处理参数的)处理窗趋向于相当狭窄,因为存在有限的控制旋钮来独立实现离子主导的等离子体或者自由基主导的等离子体。
随着电子设备变得更小和/或更复杂,诸如选择性、均一性、高深宽比、深宽依赖蚀刻等的蚀刻要求都提高了。尽管已经可以通过改变诸如压力、射频偏置、功率等某些参数来对当前这一代的产品执行蚀刻,但下一代的更小和/或更复杂的产品要求不同的蚀刻能力。离子和自由基无法更有效地解耦以及无法更独立地受控的这一事实使在某些等离子体处理系统中执行某些蚀刻处理以制造这些更小和/或更复杂的电子设备受到限制并且在某些情况下使其变得不能实行。
在已有技术中,已做出获得等离子体条件的尝试,以在蚀刻期间在不同时间调制离子比自由基的比率。在常规方案中,源射频信号可能是脉冲的(例如导通和截止),以获得在脉冲周期的一个相位(例如脉冲导通相)具有通常的离子与中性粒子通量比的等离子体、以及在脉冲周期的另一个相位(例如脉冲截止相)具有低的离子与中性粒子通量比的等离子体。已知源射频信号可以与偏置射频信号被同步施加脉冲。
然而,已观察到已有技术的脉冲在一定程度上导致了在时间中的不同点,通常的离子与中性粒子通量比的等离子体的交替相位,并已打开了用于一些处理的操作窗,但仍然期望更大的操作窗。
附图说明
在附图中,以示例的方式,而非以限制的方式示出本发明,且在附图中,同样的参考标记指代类似的元件,其中:
图1示出了依据本发明的一个或多个实施方式的、输入气体(诸如反应气体和/或惰性气体)和源射频信号两者都被施加脉冲(尽管以不同脉冲频率)的示例组合脉冲方案。
图2示出了依据本发明的一个或多个实施方式的另一个示例组合脉冲方案。
图3示出了依据本发明的一个或多个实施方式的又一个示例组合脉冲方案。
图4示出了依据本发明的一个或多个实施方式的用于组合脉冲方案的其他可能的组合。
图5示出了依据本发明的一个或多个实施方式的用于执行组合脉冲的步骤。
图6示出了依据本发明的一个或多个实施方式的用于执行气体脉冲的步骤。
图7A和图7B示出了依据本发明的实施方式的结合图6讨论的气体脉冲方案的不同的示例变化。
具体实施方式
现在参考如图所示的几个实施方式详细说明本发明。在下面的说明中,记载了大量具体细节,用来提供对本发明的彻底理解。然而,显而易见,本领域的技术人员可知,可以不用一些或者所有这些具体细节来实践本发明。在其他实例中,没有详细说明周知的处理步骤和/或构造,以防不必要地模糊本发明。
下文说明的各种实施方式包含方法和技术。应该记住的是,本发明可能还覆盖包含计算机可读介质的制造品,计算机可读介质存储有用于进行本发明创造性技术的实施方式的计算机可读指令。计算机可读介质例如可以包含半导体、磁、光磁、光学、或者其他形式的用于存储计算机可读代码的计算机可读介质。此外,本发明还可以覆盖用于实践本发明的实施方式的仪器。该仪器可以包含电路、专用和/或可编程电路,以进行关于本发明的实施方式的任务。该仪器的示例包含通用计算机和/或被适当编程的专用计算设备,可以包含适合用于与本发明的实施方式有关的各种任务的计算机/计算设备和专用/可编程电路的组合。
本发明的实施方式涉及如下组合脉冲方案:使用第一脉冲频率给输入气体(例如反应气体和/或惰性气体)施加脉冲,以不同的第二脉冲频率给源射频信号施加脉冲。尽管在本文的示例中讨论的是采用电感耦合的等离子体处理系统和电感射频电源,但应该理解的是本发明的实施方式同样适用于电容耦合的等离子体处理系统和电容射频电源。
在一个或多个实施方式中,在电感耦合的等离子体处理系统中,输入气体被以更慢的脉冲频率施加脉冲,电感源射频信号被以不同但更快的脉冲频率施加脉冲。例如,如果电感源射频信号是在13.56MHz,那么电感源射频信号可以例如被以100Hz施加脉冲,而气体被以不同脉冲频率、诸如1Hz施加脉冲。
因此,在该示例中完整的气体脉冲周期是1秒。如果气体脉冲占空比是70%,那么气体可以在1秒气体脉冲周期的70%导通,并在1秒气体脉冲周期的30%截止。由于源射频脉冲率是100Hz,因此完整的射频信号脉冲周期是10ms。如果射频脉冲占空比是40%,那么射频导通相(当13.56MHz信号导通时)是10ms射频脉冲周期的40%,射频截止相(当13.56MHz信号截止时)是10ms射频脉冲周期的60%。
在一个或多个实施方式中,电感源射频信号可以被以2个不同的频率施加脉冲,而气体被以其自身的气体脉冲频率施加脉冲。例如,上述的13.56MHz射频信号在频率f1的导通相期间不仅可以被以100Hz的频率f1施加脉冲,而且可以被以不同的较高频率施加脉冲。例如,如果射频脉冲占空比是f1脉冲的40%,那么f1的导通相是10ms的40%或者4ms。然而,在该f1的4ms导通相期间,射频信号还可以被以不同的较高频率f2(诸如以400Hz)施加脉冲。
本发明的实施方式考虑到气体脉冲和射频脉冲可以同步(即具有脉冲信号的匹配的上升沿和/或下降沿)或者可以异步。占空比可以是恒定的,或者可以以独立于其他脉冲频率的方式、或者以依赖于其他脉冲频率的方式变化。
在一个或多个实施方式中,可以采用频率啁啾。例如,射频信号可以以周期性或者非周期性方式改变其基础的频率,使得在任何脉冲周期(例如任何射频信号或者气体脉冲周期)的相位或者一部分相位期间,可以采用不同频率(例如60MHz对13.56MHz)。同样,如果需要的话,气体脉冲频率可以以周期性或者非周期性方式随时间的推移而改变。
在一个或多个实施方式中,上述的气体和源射频脉冲可以与一个或多个脉冲另一个参数的变化(诸如偏置射频信号的脉冲、到电极的DC偏置的脉冲、多射频频率在不同脉冲频率下的脉冲、改变任何参数的相位等)组合。
可以通过参考附图和下面的说明来更好地理解本发明的实施方式的特征和优点。
图1示出依据本发明的一个实施方式的、输入气体(诸如反应气体和/或惰性气体)和源射频信号两者都被施加脉冲(尽管以不同脉冲频率)的组合脉冲方案的示例。在图1的示例中,输入气体102被以约2秒/脉冲或者2MHz的气体脉冲频率(定义为1/Tgp,其中Tgp是气体脉冲的周期)施加脉冲。
13.56MHz的TCP源射频信号104被以射频脉冲频率(定义为1/Trfp,其中Trfp是射频脉冲的周期)施加脉冲。本文为了澄清射频脉冲的概念,在时期120期间射频信号导通(诸如13.56MHz射频信号),在时期122期间射频信号截止。每个气体脉冲率和射频脉冲频率可以具有其自身的占空比(定义为脉冲导通时间除以总脉冲周期)。不要求占空比对于任何脉冲信号必须是50%,对于特定处理,占空比可以根据需要而变化。
在实施方式中,气体脉冲和射频信号脉冲是用相同的占空比。在另一个实施方式中,气体脉冲和射频信号脉冲用独立可控(可以不同)的占空比,以使粒度控制最大化。在一个或多个实施方式中,气体脉冲信号和射频脉冲信号的上升沿和/或下降沿可以同步。在一个或多个实施方式中,气体脉冲信号和射频脉冲信号的上升沿和/或下降沿可以异步。
在图2中,气体输入202被以其自身的气体脉冲频率施加脉冲。然而,源射频信号204可以被以2个不同频率施加脉冲,而气体被以其自身的气体脉冲频率(定义为1/Tgp,其中Tgp是气体脉冲的周期)施加脉冲。例如,射频信号在f1脉冲的导通相期间不仅可以被以频率f1(从图中定义为1/Tf1)施加脉冲,而且可以被以不同的较高频率施加脉冲。例如,在f1脉冲的该导通相期间,射频信号可以被以不同脉冲频率f2(从图中定义为1/Tf2)施加脉冲。
在图3中,气体输入302被以其自身的气体脉冲频率施加脉冲。然而,源射频信号304可以被以3个不同的频率施加脉冲,而气体被以其自身的气体脉冲频率施加脉冲。例如,射频信号在f1脉冲的导通相期间不仅可以被以频率f1(从图中定义为1/Tf1)施加脉冲,而且可以被以不同的较高频率施加脉冲。因此,在f1脉冲的该导通相期间,射频信号可以被以不同脉冲频率f2(从图中定义为1/Tf2)施加脉冲。在f1脉冲的截止相期间,射频信号可以被以不同脉冲频率f3(从图中定义为1/Tf3)施加脉冲。
另外或者替代地,尽管在图1-3的示例中占空比示出为恒定,但占空比也可以以周期性或者非周期性方式变化,并独立或者依赖于一个脉冲信号的相位(无论是否是气体脉冲信号、射频脉冲信号、或其他)。此外,占空比的改变可以相对于任何一个脉冲信号的相位(无论是否是气体脉冲信号、射频脉冲信号、或其他)同步或者异步。
在一个实施方式中,射频脉冲的占空比在气体脉冲的导通相期间(例如图1的154)被有利地设定为一个值,射频脉冲的占空比在气体脉冲的截止相期间(例如图1的156)被设定为另一个不同值。在优选的实施方式中,射频脉冲的占空比在气体脉冲的导通相期间(例如图1的154)被有利设定为一个值,射频脉冲的占空比在气体脉冲的截止相期间(例如图1的156)被设定为较低的值。可以理解的是该射频脉冲占空比实施方式对于一些蚀刻是有利的,在该实施方式中,占空比在气体脉冲的导通相期间较高且在气体脉冲的截止相期间较低。可以理解的是该射频脉冲占空比变化对于一些蚀刻是有利的,在该变化中,占空比在气体脉冲的导通相期间较低且在气体脉冲的截止相期间较高。作为本文采用的术语,当信号被脉冲时,占空比在信号被施加脉冲时的期间不是100%(即,脉冲和“总是导通”是2个不同的概念)。
另外或者替代地,可以对任何脉冲信号(无论是否是气体脉冲信号、射频脉冲信号、或其他)采用频率啁啾。通过下面的图4中的射频脉冲信号更详细地说明频率啁啾。
在一个或多个实施方式中,气体被脉冲,使得在气体脉冲导通相期间,一种或多种反应气体和一种或多种惰性气体(诸如氩、氦、氙、氪、氖等)是由配方指明的。在气体脉冲截止相期间,可以去除一种或多种反应气体和一种或多种惰性气体这两者中的至少一些。在其他实施方式中,在气体脉冲截止相期间,去除一种或多种反应气体中的至少一些并替换为一种或多种惰性气体。有利的是,在气体脉冲截止相期间去除一种或多种反应气体中的至少一些并替换为一种或多种惰性气体,以将腔室压力保持基本相同。
在一个或多个实施方式中,在气体脉冲截止相期间,流入腔室的一种或多种惰性气体与总气体流的百分比可以从约X%变化至约100%,其中,X是在气体脉冲导通相期间采用的惰性气体相对总气体流的百分数。在更优选的实施方式中,流入腔室的一种或多种惰性气体与总气体流的百分比可以从约1.1X变化至约100%,其中,X是在气体脉冲导通相期间采用的一种或多种惰性气体相对总气体流的百分比。在优选的实施方式中,流入腔室的一种或多种惰性气体对总气体流的百分比可以从约1.5X变化至约100%,其中,X是在气体脉冲导通相期间采用的一种或多种惰性气体相对总气体流的百分比。
气体脉冲频率由腔室中气体的停留时间限制在高端(频率上限)。该停留时间概念是本领域的技术人员已知的,并根据不同的腔室设计而变化。例如,对于电容耦合的腔室而言,停留时间通常在数十毫秒的范围。在另一个示例中,对于电感耦合的腔室而言,停留时间通常在数十毫秒至数百毫秒的范围。
在一个或多个实施方式中,气体脉冲周期可以在10毫秒到50秒的范围,更优选的是50毫秒到约10秒,优选的是约500毫秒到约5秒。
依据本发明的实施方式,源射频脉冲周期低于气体脉冲周期。射频脉冲频率由射频信号的频率(例如如果射频频率是13.56MHz,那么13.56MHz会建立对于射频脉冲频率的上限)限制上端。
图4示出了依据本发明的一个或多个实施方式的其他可能的组合。在图4中,另一个信号406(诸如偏置射频、或者任何其他周期性参数)可以与气体脉冲信号402和源射频脉冲信号404(如430和432所示地被脉冲)一起被施加脉冲。信号406的脉冲可以与系统中的任何其他信号同步或者异步。
替代地或附加地,另一个信号408(诸如DC偏置、或者温度、或者压力、或者任何其他非周期性参数)可以与气体脉冲信号402和源射频脉冲信号404一起被施加脉冲。信号408的脉冲可以与系统中的任何其他信号同步或者异步。
替代地或附加地,另一个信号410(诸如射频源、或者射频偏置、或者任何其他非周期性参数)可以与气体脉冲信号402一起被啁啾并被施加脉冲。例如,当信号410在进行脉冲时,信号410的频率可以根据信号410或者另一个信号(诸如气体脉冲信号)的相位、或者响应于来自工具控制计算机的控制信号而变化。在图1的示例中,参考标记422指向具有比参考标记420所关联的频率较高的频率的区域。较低频率422的示例可以是27MHz,较高频率420可以是60MHz。信号410的脉冲和/或啁啾可以与系统中的任何其他信号同步或者异步。
图5示出了依据本发明的实施方式的用于执行组合脉冲的步骤。例如可以通过软件在一个或多个计算机的控制下执行图5的步骤。在一个或多个实施方式中,软件可以存储在包含非临时性计算机可读介质的计算机可读介质中。
在步骤502中,衬底设置在等离子体处理腔室。在步骤504中,处理衬底并施加脉冲于射频源和输入气体这两者。在步骤506中示出了给一个或多个其他信号(诸如射频偏压或者另一个信号)可选地施加脉冲。在步骤508中,频率、占空比、气体百分比等可以可选地变化,并给射频源和输入气体施加脉冲。
在一个或多个实施方式中,气体被施加脉冲,使得每个周期有至少2个相位,其中周期周期性重复。包含射频源信号的其他参数可以保持不被施加脉冲。在第一相位期间,反应气体(其可以包括多种不同的蚀刻和/或聚合物形成气体)比惰性气体(诸如氩、氦、氙、氪、氖等中的一种或多种)的比率是第一比率。在第二相位期间,反应气体比惰性气体的比率是不同于第一比率的第二比率。如果在第二相位期间流入腔室的反应气体流比总气体流的比率减小(即,流入腔室的惰性气体比总气体流的比率增加),那么该腔室在第二相位期间比第一相位中含有较高百分比的惰性气体。在这种情况下,离子主导的等离子体导致等离子体离子通量主要由惰性气体形成,以执行蚀刻。
这不像已有技术的情形那样,反应气体增加以给气体施加脉冲。通过增大腔室中惰性气体的百分比,而不向腔室增加反应气体流,本发明的实施方式实现了富含离子的等离子体,以改善蚀刻均一性、方向性和/或选择性。
在实施方式中,比率并非通过向腔室增加任何反应(诸如蚀刻剂或者聚合物形成)气体,而是通过减小反应气体流率而改变的,使得惰性气体对反应气体的流量百分比增加。在该实施方式中,在第二相位期间腔室压力会实质上减小。
替代地或附加地,一种或多种反应气体比一种或多种惰性气体的比率可以通过向腔室增加一种或多种惰性气体流并保持向腔室的反应气体流恒定或者通过减小一种或多种反应气体流(但是不通过向腔室增加反应气体流)来改变。在实施方式中,增大惰性气体流,以补偿反应气体流的减小。在该实施方式中,在第一和第二相位期间,腔室压力保持得实质上相同。在另一个实施方式中,惰性气体流增大,但是不足以完全补偿反应气体流的减小。在该实施方式中,在第二相位期间,腔室压力减小。在另一个实施方式中,惰性气体流增大得更加充分来补偿反应气体流的减小。在该实施方式中,在第二相位期间,腔室压力增加。
如上所述,在一个或多个实施方式中,在气体脉冲第二相位期间,流入腔室的一种或多种惰性气体与总气体的百分比可以从约X%变化至约100%,其中,X是当等离子体腔室稳定以进行处理时存在的一种或多种惰性气体相对总气体流的百分数、或者在第一相位期间存在的一种或多种惰性气体相对总气体流的百分比。在更优选的实施方式中,流入腔室的惰性气体与总气体的百分比可以从约1.1X变化至约100%。在优选的实施方式中,在第二相位期间,流入腔室的一种或多种惰性气体与总气体流的百分比可以从约1.5X变化至约100%。
气体脉冲频率由腔室中气体的停留时间限制高端(频率上限)。如上所述,例如对于电容耦合的腔室而言,停留时间通常在数十毫秒的范围。在另一个示例中,对于电感耦合的腔室而言,停留时间通常在数十毫秒至数百毫秒的范围。另外如上所述,在一个或多个实施方式中,气体脉冲周期可以在10毫秒到50秒的范围,更优选的是在50毫秒到约10秒的范围,优选的是在约500毫秒到约5秒的范围。
在一个或多个实施方式中,在周期性脉冲的第二相位期间增加的惰性气体可以是相同的惰性气体、或者具有不同化学成分和/或不同的组成气体的不同的惰性气体。替代地或附加地,气体脉冲频率的占空比可以从1%变化至99%。替代地或附加地,在处理期间,气体脉冲率可以被啁啾,即可以改变。例如,气体脉冲可以在具有40%占空比的5秒气体脉冲周期内完成,进而切换至具有或者相同的40%占空比或者不同的占空比的9秒气体脉冲周期。啁啾可以依据啁啾频率(诸如20秒啁啾频率,其中,气体脉冲频率每20秒可以改变)周期性完成。
图6示出依据本发明的一个或多个实施方式的用于执行气体脉冲的步骤。例如可以通过软件在一个或多个计算机的控制下执行图6的步骤。在一个或多个实施方式中,软件可以存储在包含非临时性计算机可读介质的计算机可读介质中。
在步骤602中,衬底设置在等离子体处理腔室。在步骤604中,在腔室中产生等离子体,并以惰性气体流比反应气体流的基线比率保持稳定。在步骤606中,在气体脉冲的一个相位,在不向腔室增大反应气体流的情况下,惰性气体流比反应气体流的比率增大。在步骤608中,在气体脉冲的另一个相位,在不向腔室增大反应气体流的情况下,惰性气体流比反应气体流的比率相对于步骤606的惰性气体流比反应气体流的比率减小。在各种实施方式中,步骤608中惰性气体流比反应气体流的比率可以实质上等于步骤604的惰性气体流比反应气体流的比率(稳定等离子体步骤)、或者可以高于或低于稳定步骤604的惰性气体流比反应气体流的比率。在步骤610中,处理衬底且通过让上述的惰性气体与反应气体流的比率周期性随步骤606和608的比率波动的方式对气体施加脉冲。
图7A和7B示出了依据本发明的实施方式的结合图6讨论的气体脉冲方案的不同示例变化。在图7A的示例中,情况A、C、D和E代表惰性气体比反应气体的各种比率。例如,情况A中,惰性气体(I)比反应气体(R)的比率是3:7。例如,情况B中,惰性气体比反应气体的比率是8:1。例如,情况C中,惰性气体比反应气体的比率是1:9。情况D中,流入腔室的气体流基本都是惰性的。虽然给出示例比率值,但比率的准确值仅是示例性的,重要的一点在于这些情况彼此都具有不同的比率。
在图7B中,示例脉冲702在优选的实施方式中可以是ADAD,其中气体脉冲可以在图7A的情况A与情况D之间周期性波动并重复。
另一个示例脉冲704可以是ABABAB/ADAD/ABABAB/ADAD,其中,气体脉冲可以在图7A的情况A与情况B之间周期性波动,然后在图7A的情况A和D之间周期性波动,进而回到图7A的情况A与B之间周期性波动并重复。
另一个示例脉冲706可以是ABABAB/ACAC/ABABAB/ACAC,其中,气体脉冲可以在图7A的情况A与情况B之间周期性波动,然后在图7A的情况A和D之间周期性波动,进而回到图7A的情况A与B之间周期性波动并重复。
另一个示例脉冲708可以是ABABAB/CDCD/ABABAB/CDCD,其中,气体脉冲可以在图7A的情况A与情况B之间周期性波动,然后在图7A的情况C与D之间周期性波动,进而回到图7A的情况A与B之间周期性波动并重复。
另一个示例脉冲710可以是ABABAB/CDCD/ADAD/ABABAB/CDCD/ADAD,其中,气体脉冲可以在图7A的情况A与情况B之间周期性波动,然后在图7A的情况C与D之间周期性波动,然后在图7A的情况A与D之间周期性波动,进而回到图7A的情况A与B之间周期性波动并重复。
其他示例可以包含4个相位,诸如ABAB/CDCD/ADAD/ACAC,并重复。复杂脉冲对于例如涉及原样蚀刻然后清洗、或者多步骤蚀刻等处理非常有利。
在另一个实施方式中,图6、图7A和图7B的气体脉冲可以与射频偏置信号的异步或者同步脉冲组合,该射频偏置信号被提供至供电电极。在示例中,当在气体脉冲周期的一个相位,气体被施加脉冲至高惰性气体百分比、或者100%或接近100%的惰性气体百分比时,射频偏置信号被施加高脉冲。当在气体脉冲周期的另一个相位,气体被施加脉冲至较低惰性气体百分比时,射频偏置信号被施加低脉冲或者被施加零脉冲。在各种实施方式中,射频偏置信号的脉冲频率可以与气体脉冲的脉冲频率相同或者不同。在各种实施方式中,射频偏置信号的占空比可以与气体脉冲的占空比相同或者不同。如果需要的话,对于射频偏压信号脉冲和气体脉冲中的一个或者两个可以采用啁啾。
在每个气体脉冲示例中,根据要求,脉冲频率、脉冲的数量、占空比等可以在整个蚀刻中变化、保持恒定或者可以周期性或者非周期性变化。
由上可知,本发明的实施方式提供可以拓宽用于蚀刻处理的处理窗的另一个控制旋钮。由于很多当前的等离子体腔室已经设置有脉冲阀或者脉冲质量流量控制器,因此依据图6-7A/7B和本文的说明所实施的气体脉冲可以不要求昂贵的硬件改造来实现。此外,如果期望结合气体脉冲的射频脉冲,那么很多当前的等离子体腔室已经设置有能够脉冲的射频电源。相应地,可以实现通过气体/射频功率脉冲获得更宽的处理窗,而不要求昂贵的硬件改造。当前的工具所有者可以利用现有的蚀刻处理系统来以小的软件升级和/或小的硬件改变来实现改善的蚀刻。此外,通过改善的和/或更精细控制的离子对自由基通量比,可以改善选择性、均一性、和反向RIE滞后效应。例如在一些情况下,通过相对于自由基通量增加离子通量可以改善在衬底上一个层至另一个层的选择性。通过离子与自由基的该改善的控制,可以更有效实现原子层蚀刻(ALE)。
尽管已根据若干优选的实施方式说明了本发明,但是存在落入本发明的范围内的其他变化、置换和等同方案。例如,在图中讨论的脉冲技术可以以任何组合方式组合,以满足特定处理的要求。例如,占空比变化可以利用根据附图中的任何一个(或者任何一个的一部分、或者多个的组合)讨论的技术实践。同样,频率啁啾可以利用根据附图中的任何一个(或者任何一个的一部分、或者多个的组合)和/或占空比变化讨论的技术实践。同样,惰性气体替换可以利用根据附图中的任何一个(或者任何一个的一部分、或者多个的组合)、和/或占空比变化、和/或频率啁啾讨论的技术实践。要点在于,尽管单独和/或参考具体图讨论了技术,但各种技术可以以任何组合方式组合来执行特定处理。
尽管本文提供了各种示例,但预期的是这些示例是示例性的,并非限制本发明。另外,为了方便起见提供了题目和发明内容,其不应被用于解释本申请权利要求的范围。如果本文采用了术语“组”,那么该术语旨在具有其通常理解的数学意思,以覆盖零个、一个、或者多于一个的构件。还要注意的是,实现本发明的方法和设备还有很多替代的方式。

Claims (17)

1.一种用于在等离子体处理系统的等离子体处理腔室中使用原子层蚀刻进行蚀刻的方法,所述等离子体处理腔室具有至少一个等离子体产生源和向所述等离子体处理腔室的内部区域提供处理气体的至少气体源,所述方法包括提供多个循环,其中每个循环包括:
使基本上由反应气体构成的第一气体流入所述处理腔室内;
提供第一射频信号以使所述第一气体形成为第一等离子体;
停止使所述第一气体流入所述处理腔室内;
使第二气体流入所述处理腔室内,其中所述第二气体基本上由所述惰性气体构成;
提供不同于所述第一射频信号的第二射频信号以使所述第二气体形成为第二等离子体;以及
停止使所述第二气体流入所述处理腔室内。
2.如权利要求1所述的方法,其还包括使由所述第一气体形成的所述第一等离子体稳定。
3.如权利要求2所述的方法,其中,每个循环还包括使第三气体流入所述处理腔室内以及停止使所述第三气体流入所述处理腔室内。
4.如权利要求3所述的方法,其中,所述第三气体具有与所述第一气体和所述第二气体的反应气体比惰性气体的比率不同的反应气体比惰性气体的比率。
5.如权利要求4所述的方法,其中,所述第二气体的流是在停止所述第一气体的流之后提供。
6.如权利要求5所述的方法,其中,所述第一射频信号具有不同于所述第二射频信号的功率。
7.如权利要求5所述的方法,其中,所述第二射频信号具有与所述第一射频信号不同频率的射频信号,并且其中所述第一射频信号的频率和所述第二射频信号的频率是预定的。
8.如权利要求5所述的方法,其中,所述第一气体具有不同于所述第二气体的压力。
9.如权利要求1所述的方法,其中,所述第一气体和所述第二气体在所述处理腔室内混合。
10.如权利要求1所述的方法,其中,每个循环还包括使第三气体流入所述处理腔室以及停止所述第三气体流入所述处理腔室。
11.如权利要求10所述的方法,其中,所述第三气体具有与所述第一气体和所述第二气体的反应气体比惰性气体的比率不同的反应气体比惰性气体的比率。
12.如权利要求1所述的方法,其中,所述第二气体的流是在停止所述第一气体的流之后提供。
13.如权利要求12所述的方法,其中,所述第一气体和所述第二气体在所述处理腔室内混合。
14.如权利要求1所述的方法,其中,所述第二射频信号具有与所述第一射频信号不同频率的射频信号,并且其中所述第一射频信号的频率和所述第二射频信号的频率是预定的。
15.如权利要求14所述的方法,其中,所述第一气体和所述第二气体在所述处理腔室内混合。
16.如权利要求15所述的方法,其中,所述第一气体具有不同于所述第二气体的压力。
17.如权利要求1所述的方法,其还包括提供脉冲式偏压。
CN201510894141.7A 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲 Active CN105489464B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161560005P 2011-11-15 2011-11-15
US61/560,005 2011-11-15
US13/550,547 US8808561B2 (en) 2011-11-15 2012-07-16 Inert-dominant pulsing in plasma processing systems
US13/550,547 2012-07-16
CN201280056139.7A CN103987876B (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201280056139.7A Division CN103987876B (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲

Publications (2)

Publication Number Publication Date
CN105489464A true CN105489464A (zh) 2016-04-13
CN105489464B CN105489464B (zh) 2018-02-02

Family

ID=48279609

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201280056139.7A Active CN103987876B (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲
CN201510894141.7A Active CN105489464B (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲
CN201510894145.5A Pending CN105513933A (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201280056139.7A Active CN103987876B (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510894145.5A Pending CN105513933A (zh) 2011-11-15 2012-11-12 等离子体处理系统中的惰性主导脉冲

Country Status (7)

Country Link
US (3) US8808561B2 (zh)
JP (2) JP6325448B2 (zh)
KR (1) KR102188927B1 (zh)
CN (3) CN103987876B (zh)
SG (2) SG10201608391VA (zh)
TW (2) TWI623017B (zh)
WO (1) WO2013072834A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331554A (zh) * 2019-08-05 2021-02-05 长鑫存储技术有限公司 薄膜沉积方法、半导体器件的制作方法及半导体器件

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI750120B (zh) 2015-06-05 2021-12-21 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10854453B2 (en) * 2017-06-12 2020-12-01 Tokyo Electron Limited Method for reducing reactive ion etch lag in low K dielectric etching
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN112349860B (zh) * 2019-10-15 2023-03-14 广东聚华印刷显示技术有限公司 发光器件及其有机缓冲封装层与制作方法
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
WO2021236359A1 (en) * 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH07240379A (ja) * 1994-02-28 1995-09-12 Mitsubishi Electric Corp 薄膜形成法及びその装置
CN1802730A (zh) * 2003-04-09 2006-07-12 兰姆研究有限公司 用于利用气体化学剂周期调制的等离子体蚀刻的方法
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US20080264337A1 (en) * 2007-04-02 2008-10-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN105513933A (zh) * 2011-11-15 2016-04-20 朗姆研究公司 等离子体处理系统中的惰性主导脉冲

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
JPH04137532A (ja) * 1990-04-23 1992-05-12 Toshiba Corp 表面処理方法及びその装置
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0621008A (ja) * 1992-07-02 1994-01-28 Seiko Epson Corp 半導体装置の製造装置及び製造方法及び終点判定装置及び終点判定方法
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP2000299461A (ja) * 1999-04-15 2000-10-24 Denso Corp 半導体装置の製造方法
JP4763235B2 (ja) * 2001-08-29 2011-08-31 東京エレクトロン株式会社 プラズマ処理のための装置並びに方法
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US20100258169A1 (en) * 2009-04-13 2010-10-14 Applied Materials , Inc. Pulsed plasma deposition for forming microcrystalline silicon layer for solar applications
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
WO2011108663A1 (ja) * 2010-03-04 2011-09-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
US9318341B2 (en) 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US8735291B2 (en) * 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH07240379A (ja) * 1994-02-28 1995-09-12 Mitsubishi Electric Corp 薄膜形成法及びその装置
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
CN1802730A (zh) * 2003-04-09 2006-07-12 兰姆研究有限公司 用于利用气体化学剂周期调制的等离子体蚀刻的方法
US20080264337A1 (en) * 2007-04-02 2008-10-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
CN105513933A (zh) * 2011-11-15 2016-04-20 朗姆研究公司 等离子体处理系统中的惰性主导脉冲

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331554A (zh) * 2019-08-05 2021-02-05 长鑫存储技术有限公司 薄膜沉积方法、半导体器件的制作方法及半导体器件
CN112331554B (zh) * 2019-08-05 2022-03-04 长鑫存储技术有限公司 薄膜沉积方法、半导体器件的制作方法及半导体器件

Also Published As

Publication number Publication date
CN103987876B (zh) 2016-01-06
TW201709258A (zh) 2017-03-01
JP2018142711A (ja) 2018-09-13
TWI623017B (zh) 2018-05-01
SG10201608391VA (en) 2016-11-29
SG11201401749SA (en) 2014-09-26
WO2013072834A1 (en) 2013-05-23
KR20140096370A (ko) 2014-08-05
US20160099133A1 (en) 2016-04-07
TW201331978A (zh) 2013-08-01
JP6676094B2 (ja) 2020-04-08
CN103987876A (zh) 2014-08-13
US9583316B2 (en) 2017-02-28
US9214320B2 (en) 2015-12-15
KR102188927B1 (ko) 2020-12-10
CN105489464B (zh) 2018-02-02
CN105513933A (zh) 2016-04-20
TWI575552B (zh) 2017-03-21
US20140319098A1 (en) 2014-10-30
US8808561B2 (en) 2014-08-19
JP6325448B2 (ja) 2018-05-16
JP2015503224A (ja) 2015-01-29
US20130119019A1 (en) 2013-05-16

Similar Documents

Publication Publication Date Title
CN103987876B (zh) 等离子体处理系统中的惰性主导脉冲
JP6349257B2 (ja) ハイブリッドパルス化プラズマ処理システム
CN105895490B (zh) 等离子体处理系统中的混合模式脉冲蚀刻
TW201409527A (zh) 電漿處理裝置及電漿處理方法
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
JP2019102593A (ja) プラズマ処理方法およびプラズマ処理装置
JP2017147381A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant