CN100371491C - 脉冲等离子体处理方法及其设备 - Google Patents
脉冲等离子体处理方法及其设备 Download PDFInfo
- Publication number
- CN100371491C CN100371491C CNB008113297A CN00811329A CN100371491C CN 100371491 C CN100371491 C CN 100371491C CN B008113297 A CNB008113297 A CN B008113297A CN 00811329 A CN00811329 A CN 00811329A CN 100371491 C CN100371491 C CN 100371491C
- Authority
- CN
- China
- Prior art keywords
- gas
- plasma
- chamber
- process gas
- reactor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000003672 processing method Methods 0.000 title description 3
- 238000000034 method Methods 0.000 claims abstract description 167
- 230000008569 process Effects 0.000 claims abstract description 113
- 239000000758 substrate Substances 0.000 claims abstract description 51
- 230000005672 electromagnetic field Effects 0.000 claims abstract description 23
- 239000007789 gas Substances 0.000 claims description 365
- 238000002347 injection Methods 0.000 claims description 120
- 239000007924 injection Substances 0.000 claims description 120
- 238000012545 processing Methods 0.000 claims description 40
- 238000006243 chemical reaction Methods 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 7
- 238000004891 communication Methods 0.000 claims description 5
- 125000004122 cyclic group Chemical group 0.000 claims description 5
- 230000008093 supporting effect Effects 0.000 claims description 4
- 230000005428 wave function Effects 0.000 claims description 3
- 210000002381 plasma Anatomy 0.000 description 123
- 241000894007 species Species 0.000 description 28
- 150000002500 ions Chemical class 0.000 description 23
- 238000005086 pumping Methods 0.000 description 20
- 238000005530 etching Methods 0.000 description 17
- 230000002123 temporal effect Effects 0.000 description 13
- 238000003877 atomic layer epitaxy Methods 0.000 description 12
- 230000001965 increasing effect Effects 0.000 description 12
- 238000009792 diffusion process Methods 0.000 description 10
- 230000006870 function Effects 0.000 description 10
- 230000000694 effects Effects 0.000 description 9
- 239000010410 layer Substances 0.000 description 9
- 230000007935 neutral effect Effects 0.000 description 9
- 239000000376 reactant Substances 0.000 description 9
- 230000001976 improved effect Effects 0.000 description 8
- 230000004044 response Effects 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 230000001276 controlling effect Effects 0.000 description 7
- 230000000875 corresponding effect Effects 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 239000000446 fuel Substances 0.000 description 7
- 239000000243 solution Substances 0.000 description 7
- 239000003990 capacitor Substances 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 238000009826 distribution Methods 0.000 description 6
- 239000012530 fluid Substances 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 238000010849 ion bombardment Methods 0.000 description 6
- 239000002245 particle Substances 0.000 description 6
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 230000032258 transport Effects 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 238000013459 approach Methods 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 230000001419 dependent effect Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 230000005284 excitation Effects 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000000407 epitaxy Methods 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 230000000737 periodic effect Effects 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- 230000003068 static effect Effects 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 210000004027 cell Anatomy 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000010363 phase shift Effects 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- 238000012935 Averaging Methods 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 238000013528 artificial neural network Methods 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 238000010924 continuous production Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 230000005686 electrostatic field Effects 0.000 description 2
- 238000004993 emission spectroscopy Methods 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000010355 oscillation Effects 0.000 description 2
- 102000007863 pattern recognition receptors Human genes 0.000 description 2
- 108010089193 pattern recognition receptors Proteins 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 238000013022 venting Methods 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 241001246312 Otis Species 0.000 description 1
- 230000018199 S phase Effects 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910001423 beryllium ion Inorganic materials 0.000 description 1
- 238000005513 bias potential Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 238000005202 decontamination Methods 0.000 description 1
- 230000003588 decontaminative effect Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000001739 density measurement Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000003745 diagnosis Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000004401 flow injection analysis Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000001969 hypertrophic effect Effects 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 230000037427 ion transport Effects 0.000 description 1
- 210000000867 larynx Anatomy 0.000 description 1
- 238000001499 laser induced fluorescence spectroscopy Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000003278 mimic effect Effects 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 238000005546 reactive sputtering Methods 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000001686 rotational spectrum Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000007619 statistical method Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Plasma Technology (AREA)
- Electrically Driven Valve-Operating Means (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Abstract
在对反应室(2)的基片进行等离子辅助处理的方法中,通过:将至少一种处理气体引入反应室(2)内;并通过在反应室(2)内建立RF电磁场以及使该场和处理气体相互作用,在反应室(2)内产生等离子体,控制该电磁场有一在至少两值间循环变化的能量值,每一能量值足以维持该等离子体,这样每一能量值和基片(8)上的各自不同的处理过程的执行相联系。
Description
技术领域
本发明涉及一等离子辅助基片处理模式,在该模式中,一气态种类在等离子体状态中被电离,分解,或改性,并且,导致已改性的种类或它的成分撞击基片。
背景技术
过去的几十年,多种行业逐渐采用了等离子辅助基片处理,尤其是,在半导体工业中,其基本的处理步骤包括反应离子刻蚀(RIE),等离子辅助化学汽相沉积(CVD),溅射,反应溅射,离子辅助物理汽相沉积(PVD)。当前在这些行业中使用的处理方法一般地以连续的模式工作。即,随时间变化或时间可调的仅有的一个参数是射频(RF)能量,它内在地随着射频激发信号的周期变化。
实际上,处理参数的时间调制(temporal modulation)被限于几个精选的研究领域,相对于处理时间这种调制在时间量程上很小,而和射频周期相比仍很大。气态种类的时间调制被用于原子层的外延(ALE)和脉冲射流外延(PJE),及ALE的衍生法中,近来,射频功率时间调制已显示对据称由电子温度控制造成的选择性有明显的改善。
已研究并使用的射频功率的时间调制仅包括射频振幅的时间调制。常规上处理(时间的)周期函数时在离散的傅立叶空间中描述这些函数,即
这里An是傅立叶振幅,ωn是角频率(=2πnf0)。一般地,应用的射频信号取上面的形式,其中傅立叶谐振幅An与时间无关。然而,一般地,脉冲射频的应用已包括An=An(t)。
在执行刻蚀,沉积和相关的处理过程时,脉动变化气体和脉动变化射频功率结合在一起的思想已公开在,例如,Heinecke等人提交的美国专利申请第4824690号上。这一专利提出一等离子反应器,该反应器允许以脉动的方式交互地将不同气体引入处理室中,引入不同气体的交替变化速度,在时间量程上和处理气体的交换速率一致,同时脉冲化RF功率,该功率脉动化和每一气体交替周期的开始一致。该RF功率在关闭和大约60kW之间被脉冲化,在每一气体引入脉冲的开始,有50到500毫秒(msec)的脉冲宽度(一大约0.1到1%的占空度)。Heinecke等人的美国专利第4935661号透露,通过在高压下脉动该气体输入可以提高该气体的交换速率。
虽然上面提到的Heinecke等人的两个专利针对沉积方法,但他们也提到该公开技术对其它诸如刻蚀其它方法的应用。
如在这里早先提到的,气体种类的时间调制横跨几个学科,包括原子层外延(ALE),化学汽相沉积(CVD),分子束外延(MBE),脉冲射流外延(PJE),脉冲分子束,脉冲气体注入和脉冲气体阀。在该专利文献中,区别了三种类型的脉冲气体注入:脉冲射流;运行/排出;脉冲“串”。脉冲射流注入,正如它的名字的含义,通过控制气体注射器以便射入一连串不连续的形式的气体。在运转/排出结构中,一个或多个气体流交替开关在(“运转”)室注入和(“排出”)放空之间。该排气或排出系统必须模拟该气室的状态(即压强等)。脉冲“串”气体注入使用一连续流动的运载气体,通过周期性的注入到原始运载气体,不同的气体被循环地注入到运载气体中,由此产生一气体“串”。为了将相邻种类气体的扩散最小化,该气体的脉动以足够低的占空比运行使运载气体作为扩散障碍。换句话说,当两种或多种不同的处理气体将被交替注入时,在任一气体注入运载流之前,这两种或所有的处理气体流被阻塞一很短的一段时间,由此将该两种或多种处理气体的连续剂量分离开来。这三种脉动气体注入类型描述在,例如,Blakeslee,美国专利第3,721,583号;Boucher,美国专利第3,979,235号;Suntola等人,美国专利第4,058,430号;以及Suntola等人,美国专利第4,413,022号上。
在已知的ALE过程期间的气体交换经常在低压注入和过量气体交换周期出现,该周期足以长于反应室气体滞留时间,即几分钟到数十分钟。
PJE是ALE的延伸并用高速率的超声喷射工作。Ozeki等人的几篇论文将高速射流的使用和气体交换速率联系起来,该交换速率有100毫秒(msec)低的周期。这些论文包括:使用快速气流的GaAs原子层外延的新方法,Appl.Phys.Lett.,Vol.53,p16,(1988);通过新原子层外延技术的GaAs和AlAs薄膜的生长,Thin solid film,Vol.174(1989);III-V族化合物的脉冲射流外延,J.Crys.Growth,Vol.107(1991)。此外,Eres等人的美国专利第5,164,040描述一PJE技术,该技术使用通过源存贮器供应的一脉冲超声射流列阵,该射流列阵提供处理气体以几乇到200帕的传送压强范围。另外,多个射流可根据相互关连的频率和相位的任一改变来脉冲化。
类似于ALE,PJE技术促进选择性外延并可以产生高均匀的沉积。
最后,脉冲分子束的研究表明用短时间脉冲宽度和高重复速率产生脉冲分子束的可能性。典型地,文献报道使用高速EM阀以及压电器件已经产生了脉冲分子束,EM阀有些类似汽车燃料喷射阀。例如,这一类型的技术描述在下面的论文中:Gentry&Giese,HD+He碰撞中的可分解单量子转动激发...,J.Chem.Phys.,Vol.67,p11(1977);Balle等人,观察弱分子络合物的转动谱的一新方法...,J.Chem.Phys.,Vol.72,p2(1979);Bassi等人,脉冲分子束源,Rev.Sci.Instrum.,Vol.52,p1(1981),Cross等人,高重复率脉冲喷嘴束源,Rev.Sci.Instrum.,Vol.53,p38(1982),Andresen等人,压电脉冲喷嘴束的特性,Rev.Sci.Instrum.,Vol 56,p11(1985)。飞行时间(TOF)和紫外激光感应荧光测量的结果显示,短到50微秒的脉冲时间以及高达1000Hz的重复率是可达到的。
将RF功率脉冲输入到等离子体已经被利用,主要为了提高选择性和/或均匀性,也为了影响带电损害。现有工作的中心一直是时间调制RF功率的开和关,并由此通过调谐脉冲宽度和脉冲重复率(PRR)得到改善选择性和均匀性。尤其是,为了控制等离子体中的分解产物,并反过来控制刻蚀或沉积化学反应的反应物,在现有技术中已提出时间调制RF功率。本质上,RF功率的脉冲调制在一脉冲周期时间的平均的意义上减小电子温度,因此直接地影响电子能量分布的时间平均值。等离子体内的分子分解和离子化的程度或速率和电子的数目和碰撞截面成正比,后者依赖于电子能量。于是,通过控制等离子体内的电子能量分布,对基片处理可以控制化学反应物。
Hou等人,美国专利第3,677,799,描述了使用脉冲RF功率去控制硼涂层沉积。Gorin等人,美国专利申请第4,263,088号披露使用发射光谱以确定刻蚀过程的结束,依此RF功率从一连续模式转换到一脉冲模式。几个其它的专利提出以和显著耗尽反应物相比较小的时间比例脉冲化RF功率。参见,例如,Engle等人,美国专利第4,401,507号和Ellenberger等人美国专利第4,500563号。这一脉冲化已显示能提高刻蚀/沉积选择性和均匀性。
最近,已报道了使用RF脉冲去控制刻蚀选择性。Sugal等人,高密度刻蚀等离子体的诊断和控制,Mat.Res.Soc.Symp.Proc.,Vol 406,p15(1996),描述了先进诊断法在感应耦合等离子反应器(ICP)上的执行。通过脉冲宽度,振幅和重复率的改变,控制CF2对CF3,CF和F的相对浓度,SiO2对Si的选择性得到改善。事实上,Samukawa,在脉冲时间调制ECR等离子体中的高选择性和高各向异性SiO2刻蚀,J.Appl.Phys.,Vol.33(1),p2133(1994),识别出CF2对F的比率和脉冲持续时间之间的直接联系。进而,Labelle等人,在初始物对脉冲PECVD碳化氟薄膜性质的影响,环境友好半导体制造推动NSF/SRC工程研究中心,远程电信会议,(1997年11月6日)报道在脉冲化PECVD碳化氟薄膜的工作中提高CF2的比率。
除改善氧化物刻蚀方法的选择性外,Samukawa等人,在用于高选择性的...脉冲时间调制电子回旋共振等离子刻蚀法中,J.Vac.Sci.Technol.B,Vol.12(6),p3300(1994),讨论使用脉冲RF功率的多晶硅刻蚀中的离子能量谱的控制。Yeon等人在通过射频功率调制......的微粒形成及其控制的研究,J.Vac.Sci.Technol.B,Vol.15(1),p66(1997),声称通过RF调制减少了微粒的形成。
此外,Ono等人在“用时间调制偏置方法多硅刻蚀的选择性和形状控制”,1998Dry Process Symposium(V1-5),p.141-146,报道了,当脉冲调制卡盘偏置时,改善的多硅对SiO2刻蚀选择性,改善的刻蚀各向异性和抑制微开槽现象。
最后,Ohtake等人,“通过脉冲时间调制等离子体减小外形依赖带电损害”Dry Process Symposium(V-1),p.97-102,和Mstsui等人,在“脉冲调制等离子体对微观结构电荷建立效果”,1998 Dry Process Symposium(IV-2),p.85-90,已针对缓和外形依赖的带电损害来研究脉冲调制等离子体。
这样,已经了解了时间调制(或脉冲化)气体种类或送到等离子体的RF功率的思想。事实上,如已经描述地,已经广泛地研究了这些思想。然而,已知的基于感应耦合等离子体(ICP)的晶片处理技术缺乏反应化学和离子轰击的独立控制。例如,通过处理气体分解产生最佳刻蚀反应物需要的等离子体条件,不同于给基片传送最佳的离子能量(和离子能量分布)所需要的条件。
另外,已知的反应室构型不能有效利用反应气体,或不能有效地移去挥发性的刻蚀产物。
发明内容
本发明的主要目的在于消除固有地存在于已知的基于感应耦合等离子体技术(ICP)的晶片处理过程中的许多限制。
本发明的一更具体的目的在于,在优化不同的处理阶段的方向上使处理参数能独立控制,以达到改善刻蚀的选择性和侧壁轮廓的目的。
本发明的一更进一步的具体目的是利用脉冲气体和脉冲射频调制,以提高气体的使用效率从而降低对泵速的要求。
本发明的另一个具体目的在于,利用脉冲气体和/或脉冲射频调制,使能进行一系列(在时间上)的截然不同的处理步骤,并对一给定的处理步骤影响截然不同的条件,其中这一系列的处理步骤结合起来用于对一基片的一更大的工艺处理。
本发明提供一种在反应器室中的基片上进行等离子体辅助处理的方法,包括:将至少一种处理气体引入到反应器室中;通过在该室内建立RF电磁场并使该场和处理气体相互作用而在该反应器室中产生等离子体;以及使该电磁场有一循环地变化在至少两个值之间的能量水平,每一能量水平足以维持该等离子体,使得每一能量水平值和基片上的各个不同的处理过程的执行相联系。
本发明提供用于在基片上执行等离子辅助处理的一反应器,所述的反应器包括:一气室,包围等离子体区域;一紧靠该等离子区域的气体注入组件,用于将一定量的处理气体输送到该等离子体区域;用于在该等离子体区域中产生RF电磁场的RF电源,该场和处理气体相互作用以产生等离子体,该电磁场具有一循环地变化在至少两个值之间的能量水平,每一能量水平足以维持该等离子体;一支撑部件,用于支撑气室中的基片和等离子区域相联通;以及一真空泵,和等离子体区域相通,用于以一定的速率抽取处理气体以便在该等离子体区域中保持一选定的真空压强。
根据本发明,在反应室内对基片执行等离子体辅助处理的方法中,通过:将至少一种处理气体引入反应室内;在反应室内通过建立RF电磁场并使该电磁场和处理气体相互作用产生等离子体;使该电磁场具有在至少两个截然不同的值间周期变化的能量水平,每一截然不同的能量值足以维持该等离子体,这样,每一能量水平值,在基片上产生一分别不同的处理过程,或和该过程的执行相联系。由此达到上述的以及其它的目的。
附图说明
图1A和1B是说明基片刻蚀过程中两阶段的示意立面图,该过程构成根据本发明的方法的一例。
图2A和2B是两示意图,说明在一等离子体处理室内,两个不同的流动条件下气体的流动模式,该室有一倒置的圆锥形侧壁。
图3和4是两曲线图,说明一等离子处理室内不同的工作参数的变化。
图5是根据本发明的气体注入系统的第一实施方案的截面图。
图6A,6B和图7是三曲线图,说明根据本发明的等离子体处理系统中的不同的流量参数。
图8和9是根据本发明的气体注入系统的另一实施方案的截面图。
图10和11是两曲线图,说明根据本发明的一等离子处理系统中不同的流量参数。
图12是根据本发明的一气体注入系统的另一实施方案的截面图。
图13是根据本发明的一气体输送系统的示意图。
图14A和14B是两简化的横截面图,说明执行本发明的一等离子反应器的两个结构形式。
图15A是一注入/排气板的实施方案的平面图,该板形成如图14A所示的反应器的一部分。
图15B是一注入/排气板的实施方案的平面图,该板形成如图14B所示的反应器的一部分。
图16A,16B和16C是三个简化的横截面图,说明执行本发明的一等离子反应器结构的另外三种形式。
图17A,17B和17C分别是一根据本发明的可操作的一反应装置的实用的实施方案的侧立面图,平面图,和端立面图。
图18是一根据本发明的可操作的一反应装置的另一个实用实施方案的侧立面图,部分以截面形式。
图19A,19B和19C是注入/排出板的三个实施方案的平面图,这些板的每一个可用作图18的反应装置的一部分,也可用在图14A和图14B的反应装置中。
图20A,20B,20C和20D是波形图,说明在根据本发明的一方法中的不同参数的调制。
图21是一信号图,说明根据本发明操作的等离子反应器的晶片卡盘上的自偏压的建立。
具体实施方式
根据本发明的一个重要方面,送到处理室用于维持等离子体的RF功率被时间调制在两个值之间。这些值的每一个足以维持该等离子体,每一功率值产生基片上的各自不同的处理过程,或和该过程的执行相联系。
在此考虑的类型的系统中,该基片安放在卡盘或基片固定器上,给卡盘或基片固定器施加RF偏压功率以产生DC自偏压,该自偏压将离子吸引至基片表面。在下文中,施加到感应线圈(优选方法)或对着该基片的电极(如在电容放电中)上主要目的是维持或产生等离子体的RF功率,将被称为RF源功率。施加到卡盘或基片固定器上,主要目的是将离子从等离子体吸引到基片表面上的RF功率,将被称为RF偏压功率。仅仅提及RF功率适用于可应用RF功率的任一种类型或两种类型的情形。
当在一工序期间未改变气体种类,或依次引入不同的气体以使当传送各功率值时每一种气体在等离子体中存在时,RF源功率和/或RF偏压功率的调制可以产生改善的结果,这样,希望存在这样的过程,对其脉冲调制RF源功率和RF偏压功率,同时保持同种气体种类或不同气体种类的混合的一连续流动。在另一些过程中,希望使气流脉冲化进入处理室及使RF源功率产生脉动,同时保持RF偏压功率恒定。
在本发明的优选实用实施方案中,引入处理室的处理气体在两类气体间顺序改变,与RF源功率的时间调制一致。这样做的目的是交替地执行两个过程,每一过程使用不同的气体种类。对许多,(如果不是多数)本发明所针对的处理类型,调制加到卡盘上RF偏压功率以便改善等离子体的处理效果,在一些必要的情况下,也将是有益的,(该卡盘支撑正被处理的基片)。这一调制也将和RF源功率的时间调制协调。而且,还希望在RF源功率和RF偏压功率的脉冲调制之间,或在这两种调制的一种或两种和处理气体的引入在其中变化的模式之间引入相差。这样,调制波形和处理气体的引入模式在相位上不必相互一致,虽然对许多处理工序同相关系是更可取的。
通过“快速地”交换等离子反应器中这两种气体,并同时调制维持等离子体的感应耦合射频(ICRF)功率,以及可能的话,调制加到夹盘上的RF偏压功率,可使这两过程以比较高的速度交替。这样,在一连串的循环中执行该方法,在每一连续循环的一各自半循环期间执行每一过程。
虽然将被理解在任何程度上本发明不限于特定的方法,但本发明将描述在一示范方法的情况下。该示范方法被用于刻蚀氧化硅SiO2。
交替的气体种类可以是,例如,Ar和四氟化碳,CF4,虽然也可使用诸如CFCI和CHF的其它成分。Ar和CF4每种气体在刻蚀过程中起不同的作用。此外,RF功率可在一低值,标称<2kW,和一高值,标称5kW之间脉动变化,分别和CF4和Ar的引入一致。最后,调整加到夹盘上的RF功率的振幅以影响DC自偏压,并由此影响离子的能量。可以预见,不同气体和各种调制间的转换可以各种各样的模式或波形进行,并且可采取气体和RF成分的振幅甚至相位调制的形式。
气体成分的相位调制包括,在每一气体种类和该气体的各自RF功率对应部分或多个对应部分之间,建立固定相移。在示出的特定情节中,RF功率脉冲和气体种类脉冲在形式上是矩形,然而,情况不必是这样。波形可以是周期性的斜坡或正弦函数,如两个同相正弦信号在不同的频率ω+Δω和ω-Δω(被2Δω分开,这里Δω<<ω)处的线性叠加。在这一情形中,在频率Δω(即一波包)处获得频率ω拍的正弦信号(或被振幅调制)。
在该方法的每一第一半循环期间,CF4,也命名为Freon-14,是一种惰性气体,被引入等离子体反应室。然而,这一形态在等离子体中是短暂的,在等离子体中,惰性CF4分子可以分解成诸如CF3,CF2,CF,F等各种各样的基团。不同于CF4,当加上离子轰击并产生挥发性的反应物,即SiF4,CO2,CO,时,所有这些挥发性反应物有超过周围室压的汽压,这些基团多少能和氧化物(SiO2)反应。然而,在光刻胶(PR)和SiO2刻蚀产物间的相互作用可以导致积聚在排气管壁上的络合Si有机物的产生,这些管道形成反应室的组成部分。所以,应加热排气管道以减小这一效应。
当将CF4引到等离子体中时,开始了一反应刻蚀化学过程,从而,该等离子体激活反应基团种类,在较小程度上,产生在这一“低”RF功率半周期间的离子,可以辅助基片涂敷过程和反应刻蚀化学过程。
图1给出第一半周期的示意表示,该半循环包括将CF4处理气体引入到碳氟化合物等离子体。如图所示,碳氟化合物等离子体的用途是分解CF4并使CF2层的产量最大,CF2被认为能改善对Si的选择性。这导致一层均匀CF2层涂敷整个基片和它相关联的PR掩模,CF2被吸附到暴露的SiO2表面和PR掩模上。将基片安置在卡盘上(未示出),通过加到该卡盘和等离体上的RF信号引入一直流自偏压电势加到该卡盘上,调制这一RF自偏压产生相应的DC自偏压的调制,这有利于使基片的涂层最佳。
当相继暴露于一高定向的,高能量的离子轰击时,可以认为CF2涂层有两个用途:(i)它保护PR掩模以提供对PR的刻蚀选择性,(ii)它刻蚀SiO2。后者提供由于氟的不足SiO2对Si的刻蚀选择性。进而,由于这种离子轰击的定向性,由刻蚀过程形成的凹槽或微沟槽的侧壁被最低限度地刻蚀或完全不被刻蚀。
在每一第一半周期的末尾,CF4和它的分解产物从反应室中抽走。
在每一第二半周期,描述在图1B中,将Ar引入到处理室用以执行不同的处理。增加输送到源的RF功率以在源中产生高离化的Ar气等离子体及高的离子电流。加到卡盘上的RF偏压功率的相应的增加能使高能量的Ar离子输送到基片表面,即,使这些离子有力地撞击表面并提供能量用于和SiO2的表面反应。进而,离子轰击能破坏该表面的晶格结构用于随后的保证反应周期,净化污染物刻蚀表面,以及辅助高各向异性刻蚀的产生。
类似于ALE(每一气体循环构造一原子层)的概念,每一周期可移去一个或多个单层。所以,半周期应该高速交替以便达到一满意的刻蚀速度。例如,如果假设,以统计平均观点,在氧化物刻蚀方法的每一循环期间,移去单独一单层,那么,可以断定刻蚀速率,以微米/分钟为单位,线性正比于每一处理半循环的周期τ的倒数.已经观察到一SiO2晶格单层有大约3-10埃(_)的厚度。这表明以τ~10毫秒的适度的脉冲周期可以得到1到3微米/分钟的刻蚀速度,假定统计平均地每一处理循环刻蚀一单层。如果脉冲周期可以减小到τ~1毫秒,可能达到10到20微米/分钟的刻蚀速度。
总之,本发明提出一个基片处理的方法,该方法基于几个处理部分的时间调制。进而,通过气体种类,处理类型,RF源功率和RF偏压功率的调制,可以调节一个或几个参数,这些参数包括化学反应物,晶片上的反应物浓度,离子密度,离子能量和离子能量的谱分布,以控制刻蚀性能,尤其是刻蚀选择性。
该气体种类的快速交换可以起到几个作用。在上面描述的优选实施方案中,这两种气体的每一种,Ar和CF4在刻蚀过程中起不同的作用。Ar用于离子轰击,CF4用于反应化学过程的执行。当然,在可顺序引入的不同气体的数目上没有限制,(即,单独的气体,提前混合的气体,等等),超出对反应室入口的限制。类似地,每种气体可起不同的处理作用,依据其它处理参数的选择,并且,可引入不同的气体以便在基片上产生不同的沉积层。
上面描述的刻蚀过程中的气体的交换或调制和RF源功率调制直接耦合。例如,在CF4注入期间,源可在“低”功率下被驱动,因为期望使等离子体作为一离解机制。然而,最有可能期望“高”功率产生一高电离的等离子体用于离子轰击基片。当然,术语“低”和“高”是相对的,它们自身不能区分量值。然而,它们对熟练的技术人员的确有重要的意义,他们容易地理解一特定过程中每一术语包括的量值范围。如这里早先提到的,对输送到等离子体源的脉冲RF波形的形式没有限制。本发明的概念允许相对于气体交换波形的复合的振幅调制和相位调制。
结合气体交换和RF源功率调制的是输送到卡盘上的RF偏压功率的调制。类似地,本发明概念包括复合的振幅调制和相对于其它处理参数的输送到卡盘上的RF偏压功率的相位调整。进而,可以控制基片处理期间送到卡盘上的RF信号的谐波成分。下面将讨论上面提到的每一参数的细节。
下面的描述针对考虑包含在用于实施本发明的反应器结构中的因素。这些反应器被称为脉冲模式刻蚀(PME)反应器。特别地,将讨论这样的反应器的基本限制,至少在该技术的当前状态中,诸如气体可依其交换的速率,借助于最大RF功率转移到等离子源或卡盘等上,等离子体密度和由自偏压产生的离子能量可以依其调制的速率。
在这之前,术语“快地”被用作区分气体种类交换速率并且提到大约一毫秒量级的一半循环脉冲宽度。这示出了用于达到中至高刻蚀速率的期望的数量级。在与根据本发明的脉冲模式处理过程有关的所有参数中,反应室内不同气体的可交换速率是一加在可达到的刻蚀速率上的基本限制。
使刻蚀速率最大的一方法是使反应室的体积最小并使反应室气体流导性最大。下面将较详细地讨论这一主题。然而,存在加在这些参数上以使基片易于满足等离子化学,刻蚀化学,等要求的最小限制。此外,存在可将气体从该室中抽走所依据的速率的最大限制。例如,当前能达到的最高抽吸能力是大约5000升/秒量级,由确定的涡轮分子泵产生。另一可选择的方法可以是提供几个低能力泵,诸如具有3300升/秒的抽吸量的泵。然而,可安排仅少数这样的泵可给反应室提供有用的抽吸。
下面讨论气体抽吸的流体机械条件,并对半常规的气室,仅就等离子源的大体尺寸而言是常规的气室,和示出的基本部分,即卡盘,注入板,泵等,确定能达到的气体交换速率。
首先应当考虑中性流量的情况,该情况将在低压下遇到。在这里,将假定低压是1到1000毫乇的气室压强。然而,PME反应器的工作并不限于这一压强范围。在这些低气室压强下,气体的行为开始采取自由分子流的特性,而不再单独作为一遵从动量输运的纳维尔-斯托克斯(Navier-Stokes)方程组的连续流体。为了确定一气体表现为自由分子流动还是连续流体的程度,必须估计努森(Knudsen)数,Kn,努森(Knudsen)数只是该流场中平均自由程对一梯度长度标度的比率。该梯度长度标度可以是一特征自由剪流层厚度或特征边界层厚度。然而,一般地这可用一取自流场的特征长度,通常是各自的几何形状代替。因此,Kn的定义变成Kn=λ/L,这里λ是平均自由程,L是流场的特征长度。
自由分子流起始的解释依赖于哪一个长度标度和平均自由程比较。通常,用大于一单位的努森(Knudsen)数值作为鉴别自由分子流动的开始的标准。例如,在10毫乇的室压下,平均自由程大约是0.5cm。当和该室的特征尺寸比较时,努森(Knudsen)数比一单位小得多;然而,当和孔口直径比较时,它比一单位大的多。因此,用于自由分子流的条件依赖于解释的“标度”。
因为该自由程,在一级近似下(to the first order),随着压强的倒数而改变,那么随之而来的Kn随着压强,当然是该特征长度标度的倒数变化。首先,根据反应器的有效直径可得到整体的Kn,该反应器确定这一“整体的”或大规模的气体动态特性。对L~20cm,Kn在上面提到的1到1000毫乇(mTorr)室压范围在0.00025和0.25之间变化。然而,当考虑小规模的气体动态特性时,即该气体注入孔口的下游(以和孔口直径相比拟的规模)或基片附近,必须再估计Kn的值,并用适当的长度标度(流体的宏观特性改变的梯度比例)局部地确定它。例如,在10毫乇(mTorr)的压强下,整体的Kn可以是大约0.025,在出口孔平面处的Kn对于500sccm的质量流量可以是大约0.1,口下游很短(~1cm)的局部的Kn定义可以是大约5。一般地,大于一单位的Kn值表示自由分子流状态,小于0.01的Kn值表示一连续流状态。许多等离子体辅助处理过程出现在0.01<Kn<1的过渡状态(至少以整体的规模),这里,对大多低压过程,中等的流动动态机制可以变得非常复杂。
如果不分别探究玻耳兹曼(Boltzmann)方程的解的细节(使用DSMC,直接仿真蒙特卡罗)和分子气子动力学和连续流体动力学的纳维尔-斯托克斯(Navier-Stokes)解,从限制情况的简单的第一级分析可以得到有价值的知识:Kn□(无穷大)以及Kn□0(或实际上,Kn>>1以及Kn<<1)。当Kn<0.01时,气体表现为连续流体,它的运动受纳维尔-斯托克斯(Navier-Stokes)方程组的支配;然而,纳维尔-斯托克斯(Navier-Stokes)方程组对低压场的适用性对高达Kn~0.1通常是可接受的。见Bird,G.A.,分子气体动力学和气体流动的直接仿真,Clarendon出版社,牛津,1994。)。当Kn进一步减小到低于值0.01,该气流在粘滞层的外面变成等熵的并能用欧拉(Euler)方程处理(不包括粘滞应力的纳维尔-斯托克斯(Navier-Stokes)方程组的简化形式)。
如果流场可假定为静止的,即,超过一周期范围气室压强趋向一恒定值(或,换句话说,连续气体脉冲使得气室压强保持不变),那么,进到系统中的物质流量一定和从系统排出的流速相平衡。即,如果我们定义一控制体积包围该反应室的体积,那么进入到反应室的质量流量ρ*u*At *,一定和离开反应室的质量流量ρcS相平衡;这里ρ*是气室的入口处气体密度,u*是气室入口处的气体速率,At *是总孔口面积(At *=NA*,N=孔口数目,A*是口面积),ρc是气室气体密度,S是排气平面上的气室泵速。
假定处理室的排气平面处的泵速S大约是S=(CSinlet)/(C+Sinlet),这里C是泵入口和室排气平面间的流导(依据该室的几何形状和这两点间的气压),Sinlet是泵入口处的抽速,在泵入口处的体积流比率,它依赖于入口压强。C,S和Sinlet的单位都是每单位时间的气体体积。例如,在图14B中,室排气面是注入板18□的面,泵入口面用线21表示。一典型的效率曲线(即E=S/Sinlet)示于图3中。在连续性限制下,平均压强场“推”连续脉冲穿过气室。
图4表示在给定的室压下,正在进入气室的气体质量(以时间为τ的脉冲长度,即一个半周期)对存在在气室内的质量的比率。当该比率变为数目1或比1小时,该条件确定连续性限制下一临界的气体交换速率。图4中两类曲线;一个用实线示出,表示在一大的半圆锥形的气室中气体交换的比率值,该气室的底部直径是35cm,顶部直径是62cm,高是17cm;第二类曲线,用断续线示出,表示在一小半圆锥形的气室中气体交换的比率值,该气室的大小以因子2小于和第一套曲线相联系的那些要素。图4表示,依据入口质量流量,最小气体脉冲宽度τ以30%的大小变化。这一质量流量的改变仅仅归因于在高压下的提高的抽气效率。在该较小的气室中,用于处理6英寸的基片,最小脉冲时间可以是1.5毫秒那样短。下面将讨论为提高气室中的气体交换比率进行气体注入调谐。
在限制条件Kn>>1下,可以期望分子穿过气室到排气平面,在该面上壁碰撞规定了分子传播的方向,即,它们的平均自由程相对于气室的几何尺寸是大的。可期望一分子穿过气室无碰撞地到基片时有最短的运行时间,也没有和基片表面弹性碰撞并弹回排气平面,并在此离开该气室。这一情形示于图2B中。借助这一假设,可以得到一气体分子的最小滞留时间是大约2H/a,这里H是气室高度,a是室温下的声速。这对上面确定的较小的气室,能给出τt为0.6毫秒的估计最小滞留时间。为了确定滞留时间,有必要跟踪几个粒子的路径以确定用于该时间的概率分布函数(PDF),即使用DSMC方法,其中“几个”粒子表示足以得到一事件的统计平均值的数目。这一平均滞留时间可以是大约具有连续时间大小或甚至更大量级。
然而,上面的讨论还不包括等离子体的复杂特性。在存在等离子体时,可观察到在中性流量的几个一级效应。等离子体的一重要特性可能是离子中性抽运,其中,离子输运可以直接和间接地影响气室中的中性输运。首先,例如,由经由施加RF功率而获得的可电离气体的激发产生的离子,在静电场力作用下流向器壁,该力直接地正比于该等离子体的电位梯度,该梯度从该等离子体到边界表面(在边界表面处,发生初始电位梯度)连续变化。当和器壁的碰撞时,离子和电子再结合以在器壁上形成一中性种类。所以,中性粒子被有效地电离并通过静电场力输送到器壁,在此它们再结合。第二,当粒子被输送到器壁上时,它们可与现存的中性粒子交换向外的流动动量,进而,再重新导向它们运动方向向外,或者说,本质上,抽运中性粒子。然而,任一抽运效果的意义直接依赖于气室压强,更重要地,是平均自由程。这一效应可大大地简化并且在包括离子化,分解,再结合,气体加热,壁吸收,壁聚合等效应的等离子体复杂性中只包括一个效应。
结合到中性流的等离子体的复杂特性肯定影响滞留时间并在理想情况下可能增加滞留时间。然而,根据简单的物理学知识,至少在提供最小可能的可得到的滞留时间的意义上,上面的交换速率可能是合理的估计。总之,常规气室能够达到大约τ~10毫秒(msec)的气体交换速率。借助于在处理体积的边界表面处将抽送速度最大化的真空室的设计,以及执行用于高速定向的气体注入的方法,常规气室可能达到1毫秒(msec)(可能亚毫秒)性能。
如果,像在这里早先提出那样,气体注入系统是为了产生长度上大约1毫秒(msec)的气体脉冲,即周期τ,在该周期期间引入的每一气体种类有这一持续时间,那么,该气体注入系统的循环操作频率f=1/2τ会是500Hz。根据在等离子实验超声脉冲气体注入场中已取得的结果,对气体注入系统的这一要求是相当真实可行的。
具有这一能力的脉冲气体注入系统的设计,需要考虑进入低压环境的连续以及脉冲气体系统的气体动力学,气体脉冲激励方法,和气阀的设计原理。下面的讨论主要涉及到用于该气体注入系统的结构的一优选形式,但也包括可选择的解决方案。
首先理解连续气流注入系统的气体动力学,然后考虑对脉冲气体注入系统的不稳定动力学理解的适用性,将是适当的。首先,该气体将被注入其中的低压环境在大约1<Pc<1000毫乇(mTorr)的范围,但不限制于1<Pc<1000毫乇(mTorr)的范围,并且源的总压强Pl在0.1<Pl<100Torr的范围。总压强是滞留在注入板后面的充满气体空间的该气体的停滞压强,即气体的速度等熵地减小到零时,该气体的压强。术语总压强一般地指静压强和动压强的总和。在这些压强范围上,由于努森(Knudsen)数对局部压强的依赖,以及进而,如早先描述的,从连续流到自由分子流的结果转变,(该转变是相对大的Kn造成的,)该气体动力特性可明显地变化。
在以前提到的压强的上限(Pl>~10-100Torr),通过注入口(直径0.5mm)的气流受到充分的碰撞,该气流表现为连续形式,并可用纳维尔-斯托克斯(Navier-Stokes)方程很好地描述。而且,努森(Knudsen)数足够地小,或雷诺(Reynolds)数足够大,这样,该连续口气流的区域可看作等熵的并基本遵守纳维尔-斯托克斯(Navier-Stokes)方程组的简化形式,即欧拉(Euler)方程。
当这些条件存在,并且当跨过孔口的压强比,即入口总压强对孔口的出处之外的环境的压强的比,足够大,该孔口达到“堵塞”状态。在该堵塞状态,体积流速既不随背压(在这一技术中都知道的术语),在这一情形中就是室压,的进一步减小而变化,也不随入口总压强的升高而变化。事实上,通过增加入口总压强,并由此影响该气体密度,仅可以进一步增加通过孔口的质量流量。当然,这假定改变咽喉或孔口面积不可维持。
当口变成堵塞时,马赫(Mach)数(局部速度对局部声速的比)在口咽喉(最小的横截面积)呈现一单位值;对一不变面积孔口,由于摩擦效应,咽喉出现在孔口出口平面处。一旦该气流在该咽喉是音速,当它经历面积的增大时,它加速到超音速(M>1)(不像次音速的气流在一面积增大期间减速)。
图5表示一注入孔口的示意图,该口包括一进到发散喷嘴的恒定面积的孔口。该示意图指出用于改变压强场界限的位置,包括源总压强Pt,孔口咽喉压强P*,出口处压强Pe和气室压强Pc。对一恒定面积的口(即没有发散部分),该咽喉压强和出口压强相等。
对超音速口或喷嘴重要的另外参数是该咽喉的纵横(aspect)比。该纵横比将最小横截面区域部分的长度和该咽喉的直径(最小横截面区)联系起来。一般地,期望有小于1更可取的是不大于1的纵横比。图5,8,9和12示出这一设计方法。注意,只要延伸到激励器和扩张孔口之间的部分具有大于咽喉直径的直径,气体脉冲激励器可置于远离扩张口/喷嘴一段距离。然而,这一部分越长,气体注入延迟时间越大。
在图6A和6B中分别示出沿恒定面积注入口的Mach数和静压强的变化的例子。显然,在这一扩张期间,在注入长度的末尾的20%上,该气体被加速到音速状态(M=1),同时静压强相应的下降。在和恒定面积口相联系的条件下,在真空室中在孔口的下流几个(5-10)孔口直径的范围内,该气体经历一从连续流到自由分子流的突变。
一般地,源的总压强与气室压强的比超过临界压强比率1到2个数量级。因此,咽喉压强,或恒定面积孔口的出口压强,明显地大于周围的室压。这一般地称为欠膨胀条件。为了得到压强匹配条件,其中出口压强等于周围的室压,利用了一适当设计的面积增大,或发散喷嘴。这一情况可以展现进入低气压环境的均匀的、定向的气体喷射的特性。然而,当利用发散喷嘴时,再一次遇到由于该气体膨胀增加的Kn。所以,可能观察到过渡性流动效应。
在上面提到的压强区域(0.5<P1<10Torr)的中间,在通过孔口的气流中,粘滞性起了逐渐增大的作用,最终,该流场内不存在可看作等熵的区域。在较低的压强下,在孔口内发生的碰撞将变得更不频繁,达到该气流能展现自由分子流的行为的程度。那么,连续意义上的宏观特性不足以描述该流的行为。
总之,在较高的压强下能很好地理解气体注入的情形,其中做了连续过程,和理想等熵连续过程的假设。尤其是,当达到较高质量流速时,可达到一较高的源总气压,即一500到1000sccm及更大的质量流速。在这些条件下操作的内在的优势是高定向的气体喷射的产生,依据碰撞这些气体喷射可有机聚合在基片上。此外,在出口平面处,它们可被设计成转变为自由分子流。这一性质的气体注入方案能使气体有较大的输运速率并可以减小气室气体交换速率。
上面的讨论阐明了高压气体注入的一些优点。事实上,与低压处理过程相协调的高压气体注入,一般地,需要高质量流速或吞吐量。所以,希望在处理反应器的排气平面,或晶片平面处优化并最终增加泵抽速度。这可用大透平分子泵和在泵入口和处理容积之间好的真空设计做到。当得到改善的泵抽方法时,进一步的改进将是可能的。
至此,仅考虑了一连续孔口流动,即稳定流动。然而,在这里,引入了脉冲气体注入的概念。在较高的压强处,当孔口流动表现为一等熵的连续流时,最小脉冲时间应该超过,将气体从停滞状态加速到音速状态的所需时间,建立一足量分子的区域以经受一膨胀所需要的时间,以及在脉冲的开始和终了气体密度不被速度弥射所影响所需要的足够长的时间的总和。(见Saenger,K.L.“脉冲分子束:对完全展开的(fully developed)超音速膨胀脉冲持续时间的一低端限制”,J.Chen.Phys.,Vol.75,No.5(1981)),例如,在Pt~200Torr的压强处,最小脉冲时间大约是10微秒量级。这显著小于1到10毫秒(msec)。
对这一注入系统,有几种当前能用于激励气体脉冲的方法,得到期望的脉冲宽度和脉冲频率。一些例子是使用电磁阀,自动燃料喷射器阀和压电阀。在电磁阀使用中一电容器快速放电,描述在Gentry&Giese,十微秒的脉冲分子束源和快速电离探测器,Rev.Sci.Instrum.,Vol.49,no.5,p1888,(1978);自动燃料喷射器被改造用于类似目的,描述在Kendall,用于残余气体分析仪在线校准的脉冲气体注入,J.Vac.Sci.Technol.A,Vol.5,No.1(1987),Otis&Johnson,用于超音速喷嘴实验中的简单脉冲阀,Rev.Sci.Instrum.,Vol.51,No.8(1980),Behlen等人.,Chem.Phys.Lett.No.60,p36A(1979);压电阀描述于Cross&Valentini,高重复率脉冲喷嘴束源,Rev.Sci.Instrum.,Vol.53,No.1,p38(1982),Bates&Burell,用于等离子体实验的快速气体注入系统,Rev.Sci.Instrum.,Vol.55,No.6,(1984)。
电磁阀可以达到短至10~100微秒的脉冲持续时间和高达1~10Hz的脉冲重复率(PRR)。然而,这些阀通常产生相当的RF噪声,需要切换高电流电平的复杂的高电压功率源,并且寿命短。
商业上可购买的自动燃料喷射阀使用简单但速度慢,PRR达50Hz。此外,改进的自动燃料喷射阀(用于脉冲分子束)能使PRR达到超过几百kHz。前述电磁阀能达到较短持续时间但差的占空度(或PRR)脉冲。由于根据本发明的处理希望约50%占空度,因此脉冲宽度或持续时间是所寻求的。因此,基于商业上可购买的自动燃料喷射阀的喷射器阀是优选的,因为它能最小化微粒的产生,达到50%占空度的高PRR,只需低电压功率源。
典型地,本技术的压电阀可以大于200Hz的重复速率以及小于3毫秒(msec)的脉冲宽度工作。可以得到分别是0.1和0.25毫秒(msec)的气体脉冲上升和下降时间。Bates&Burrell,Supra,用一带有气管的标准的VeecoPV-10压电阀产生超声喷射。事实上,Cross&Valentini,supra,报道的阀被规定在750Hz的PRR有一100微秒短的脉冲宽度。
图8说明一示范的注入系统,该系统具有用于脉冲的监控的一压电阀,一气体入口管道,一注入喷嘴,一麦克风,或一压强传感器。一处理室将装备有多个这样的系统,并且每一系统用示出的高压气体供应管道馈送。在每一气体供给装置以及它的相关连的注入系统之间,将提供一用于调节源压强或质量流的高响应压强调节器和一质量流传感器(未示出)。这些部分在该处理室之外。
在每一注入系统中,气体管道供应一小的被气防护层包围的阀高压间(valve plenum),该高压间依靠阀门的驱使间歇地暴露到小注入端口。麦克风,压强调节器和质量流量传感器都由计算机监控,计算机反过来通过反馈信号控制脉冲速率和质量流量。该阀的机构可以是一电磁阀,诸如一燃料注入器阀,或涉及上面的另一类型的阀。在膨胀进入低压室之前气体在其中传送的气体管道,应该有短的长度以便使滞留时间最小,应该有小的直径以便确保层状流动。在这一管道中气体脉冲的典型滞留时间对一2cm的管道是0.08毫秒(msec),这通过积分沿该管道长度的局部气体速度的倒数来确定。更一般地,该管道可以有0.5到2cm的长度,有相应的0.5cm量级大小的直径,以及0.5到1mm的孔口直径,有0.2对1mm的纵横比。
典型地,一等离子处理室将装备有一注入板,该板和几个这样的阀组件相关联,阀组件必须被安排成允许将要交替引入的两个或多个气体的适当的分布。对使用两个独立阀的两气体的交替,各个阀将在相位上相差180度打开和关闭。
另外,两个气可耦合到一个气体注入端口,如图9所示其中一分离的阀和阀高压间提供给每一气体A和B。图9进一步示出打开和关闭控制信号的波形,该信号提供给这两个阀用于控制这两气体A和B的流动。
气体注入的另一选择是从它的外围达到该注入板。在这一情形中,气体脉冲阀可位于该板周围的不同的圆周位置,气体可经由经机械加工的通道分布到板的内部。仅在如果达到注入板的顶部存在一些阻碍使用这一方法。这一布置的问题是从气体脉冲开始到注入出口的时间变长,相应地,从注入管道的入口到出口的气体滞留时间变为和该脉冲时间相等或大于该脉冲时间。为了对进到低压室的脉冲的定时有所控制,在时间上交替将两气体的脉冲引入到同一注入管道以产生一气体脉冲“链”。最坏的情况是,两个不同的气体A和B的脉冲在脉冲开始和室入口之间有相继的时间延迟,一个优点是这一气体链可以模仿一连续气体流,尤其是,如果气体的特性,即气体常数、比热比率等相似。
但是即使用这一方法,注入管道的长度对每一气体脉冲的相干性是至关重要的。换句话说,传输距离越长,相邻脉冲中气体种类间的扩散越大。如果接连将两气体脉冲引进该注入管道,以致于这两脉冲最初相互相接,包含在这两脉冲中的气体将逐渐相互扩散。在气流方向上来自该两脉冲的气体已经相互扩散的距离被认为是该气体脉冲扩散长度。除扩散之外,由于在该注入管道内加速流动直到从注入管道射出,每一气体脉冲的空间长度逐渐增加。像早先已确定的一样,这些注入流并非湍流,因此输运只依赖于分子的扩散。然而,分子的扩散系数可以很大,尤其在该气室的入口附近,因为它反比于气体的压强。这一压强随着气体的膨胀而下降,这一点描述在图6B中。
关于沿注入管道的距离x,气体脉冲的扩散长度δ增长的一个例子示于图10中。早先δ的增加类似一发展中边界层。然而,当分子扩散系数显著增大时,它向着端部显著地变化。对大的质量流或短的滞留时间,相对扩散长度δ/l,(这里l是每一气体脉冲的当前空间长度),可以保持在诸如5%容许限度之下,见图11。
总之,在适当的条件下使用一气体“链”的方法,以便产生相干的有近似几个毫秒的脉冲宽度的气体脉冲是可行的,这一方法和在ALE文献所做的工作一致。
类似第二个选择,第三个选择是,使用Ar气流为一携带气体用于该第二处理气体,例如,CF4·即,Ar气流连续地流到气室,CF4气体脉冲间歇注入Ar气流。在这一情形中,Ar气总存在在气室内,在间隔时间周期期间,CF4在该气室内和Ar混合。
气体注入的第四种选择示于图12中。可认为它是一运转/排放型的注入系统,其中气体A和气体B正连续流进各自入口管,并且一滑动阀在两端位置间振荡,以便恰当地再导向该两气流。当该滑动阀在很靠左端位置时,如图12所示,气体A被排除出而气体B被注入该气室。相反地,当滑动阀在很靠右端位置时,气体A被注入该气室而气体B被排出。
图13是一示意图,说明控制气体脉冲传送到依照本发明的一实施方案的处理室的一系统。两气体A和B,在高压下储存在一气体储存器的各自部分,气体从每一储存器部分供到多个压强调节器。供到每一调节器的气体被引导通过各自质量流量控制器到各自的气体注入系统的相连的入口,该控制器通常含有一质量流量传感器。在这一实施方案中,每一气体注入系统可以有示于图9的形式,补充由示于图8的一压强变换器。一计算机控制系统被连接以接受来自所有质量流量传感器的质量流量信号和来自所有气体注入系统的压强信号。进一步连接该控制系统以控制每一压强调节器的压强设置以及控制每一气体注入系统的阀的打开和关闭。该控制系统可以依照本领域中已知的原理构造和编程,以便在该调节器的出口处保持期望的气体压强并以适当的频率和相位关系操作气体注入系统阀。因为该控制系统接受来自该气体注入系统中的压强转换器的压强信息,所以,可达到气体质量流量速率和气体脉冲速率的反馈控制。
如在图9中所指出的,气体流量可根据方波函数调制。然而,如这里早先提到的,气体流量也可根据代表周期斜坡或正弦函数的波形调制。通过以系列递增阶跃改变流动速率,该阶跃近似该斜坡函数,可以产生一控制的斜坡函数。通过以和斜坡函数的性质相关的方式步进质量流量控制器(示于图13),或者步进该气体注入孔口的打开或关闭,可以达到这一目的,该孔口可以是可调整的用于超音速注入的咽喉区域。在前一种的情形中,每一步的时间持续可以超过100毫秒(msec),在后一种情形中,每一步的时间持续可以小于100毫秒。产生一斜坡函数的另一方法是使用一慢响应EM或压电阀,其中一给定脉冲的上升时间可以是大约1到100毫秒(msec)。这一方法有较少控制的缺点,但执行起来相当简单。对一正弦响应可采取一类似的方法(即有肥大的“方”波)。
已经示出了气体脉冲激励的几个方法,但是,第一解决方案,包括诸如示于图5,8和9的多个气体注入系统的使用,以及可能地,诸如示于图12的第四解决方案,当前是优选的,因为人们认为可以更容易地构造这些解决方案以便提供脉冲波形,脉冲宽度,脉冲频率和质量流量的必需的强有力的控制。
根据本发明的气体注入系统,可以安装在使用在常规等离子体处理装置中的类型的一气体注入板上。该板含有用于在存在RF等离子体的情况下冷却该板的冷却通道。此外,虽然在任何图中未示出,该注入系统的喷嘴可形成在该注入板上并可以已知的方式有效地被冷却。然而,就在每一喷嘴中将由气体膨胀产生冷却来说,该喷嘴的有效冷却可能不是必需的。
根据本发明的执行一刻蚀方法的反应器,在这里称为脉冲刻蚀(PME)反应器。现在将描述这些反应器的实施方案,同时强调改善反应室传导性的那些特征。其中的两个这样的实施方案描述在图14A和14B中。这两个实施方案仅在气体注入和排出的处理上彼此不同。这些实施方案可具有公开在以下专利中的特征,未决共同拥有的国际申请PCT/US99/07962,指定美国,它由Johnson于1999年4月12日提出,名称为减小阻抗的气室,它的公开内容在此引入作为参考。
每一实施方案包括一包围倒置的半圆锥处理室的外壳2,该处理室含有圆锥形的较低的端部,该端部配备一负载锁定装置4,该锁定装置4含有一支撑一基片的垂直可移动的卡盘6。该基片典型地以圆片8的形式,它的上表面将被刻蚀。安装卡盘6用于垂直平移以便圆片8的调换,并且密封等离子区域的底部。外壳2被一具有圆锥形式的多匝螺旋线圈14包围,并连接以便接收调制的RF电流,该电流在被外壳2包围的一圆锥形的处理区域将产生一RF场。外壳2按照本技术领域中已知的原理构造,以便静电保护处理区域。外壳2进一步包括一在处理区域顶部的处理气体注入组件18,18′以及位于处理气体注入组件18上方的排出抽运组件20。抽运组件20未详细地示出,它可以以已知的方式,用合适的透平分子泵构成。每一图示出了,当CF4气体正被泵入处理区域以及Ar气正被泵出时,第一半循环开始处的一瞬间。
在示于图14A的实施方案中,处理气体注入组件18′包括一位于中央的注入板。用过的气体和刻蚀产物穿过该注入板和外壳2的壁之间的环形区域被向上排放到泵组件20。
在示于图14B的实施方案中,组件18′的注入板完全地延伸跨过处理区域,并且有注入口和排泄管,它们两者横跨该处理区域的上部边界均匀地分布。
在这些实施方案的每一个中,待处理的基片放置在倒置的半圆锥形的室的底部。注入板放置在该室的顶部。
注入板或集流管的有代表性的实施方案18和18′分别示于图15A和15B中。在图15A中,有二十个小注入口,每一个咽喉处的直径大约是1mm,该咽喉是示于图5的发散的喷嘴的入口端。在板18中可以提供的孔口的数目不限于20。板18进一步装备有四个大的包围内部喷嘴列阵的扇形。第二个注入/排气板,示于图15B中,均匀地分布注入端口和排气管,这些口和管横跨等离子源的顶部,意在将注入气体和排放气体之间的相互作用最小化,以及将排气管的面积最大化。这些实施方案可使大小适于12英寸圆片处理或任何的几何上类似的改变。
三个反应器的进一步的实施方案示于图16A,16B,16C中,这些反应器用于执行根据本发明的刻蚀方法。在所有的三个构型中,气体对着基片和卡盘,或基片支持物注入,真空泵通过围绕卡盘的圆环区域达到处理空间。在所有的三个实施方案中,线圈14围绕外壳2的顶部。这样,处理区域,或等离子源位于外壳2的顶部。这三个构型之间的唯一区别是线圈14的形状,以及由其造成的处理区域。体积几何结构包括一园柱几何结构,示于图16A中,一截头圆锥形几何结构,示于图16B中,一半球形的几何结构,示于图16C中。
在这些实施方案的处理室内,壁的倾斜执行几个功能。在高努森(Knudsen)数极限,期望它有相对于内表面积尽可能大的出口面积以便改善该室的传导性。室的传导性越高,现存的原子和分子找到它们出路的机会越大。此外,当气体从顶部注入到该室和泵出该室时,单反弹原子/分子传播将出现的可能性更大,如图2B所示。相反,在自由分子方式中工作的常规反应室需要至少两次壁碰撞以使原子/分子脱离该室。在低努森(Knudsen)数极限处,和连续流相联系,侧壁的倾斜可以促进示意地表示在图2A中气流,而没有形成在该气室底和侧壁之间拐角内的气流分离。此外,倾斜的侧壁将一通常圆形圆柱型气室设计的体积最小化。
除调节气体注入和增大气室的传导外,增大气室的抽运能力可以附加地提高气体的交换时间。邻近气室放置一5000升/秒的泵或在气室上面放置几个1500升/秒的泵可以增强泵技术系统的封装。最后,如在共同拥有的共同未决国际申请PCT/US99/12827中公开的,可以期望增大的抽运能力。该申请由Dandl等人于1999年6月提交,指定美国,名称为等离子体真空抽运单元,在此引入该申请的公开内容作为参考,
总之,为了在反应器内将气体可依其交换的速率最大化,本发明将已调整的气体注入技术和本技术现有水平的抽运能力在高传导室内结合起来。
根据本发明的PME反应器的一实用实施方案示于图17A,17B和17c中,图17A是侧立面图,图17B是顶部平面图,图17C是端立面图。从图17A和17C可明显看出,这一实施方案的组成部分可由一支撑框架支撑,该框架安放在辊子上以使该反应器能被移到制造业设施内的任意期望的位置。该反应器的所有单个组成部分,这些部分本身可根据在本技术中众所周知的原理构造,或者已经公开在此。
示出的PME反应器基本上由下列部分组成:一等离子体源30,一负载锁定室32,一晶片夹持系统34,垂直移动卡盘36,一源功率源38,在源30上面的一中间真空室40,一涡轮分子泵42,在源30和泵42上面的平板44,一线性移动阀46和平板44上面的排气流区域48。安装垂直移动卡盘36是为了上面描述的和图2A和2B有关的垂直移动,给卡盘36提供RF功率以产生自偏压,功率源38可以包括一自激振荡器(FRO),也可能包括一阻抗匹配系统,功率源38产生一RF电流用于该等离子源线圈。阀46能在完全关闭的位置和完全打开的位置间的一位置范围移动,在完全关闭的位置,阀46堵住泵42上面的平板44中开孔的打开,在完全打开的位置,阀46处于离开平板44上的开孔最大距离的位置。这样,阀46是可操作的以便控制穿过一排气流通路的气体流动速率,该排气通路由平板44中的开孔和排气流区域48形成。该反应室进一步包括适合的处理气体和冷却剂供应耦合(未示出)。
一RF电流可以从一分离装置49提供给卡盘36,分离装置49可以是一FRO,或者通过一分离装置49,分离装置49可以是用于将从常规的固态RF发生器的功率传输最大化的一匹配网络。
根据本技术领域的标准实践,源30包括一螺旋状线圈50和一静电屏蔽板52以及气体注入组件(未示出)。连接线圈50用于接受由FRO 38产生的RF电流(或等效地,由一常规的使用固态RF发生器产生的通过匹配网络38的RF电流,或最后,由单独的RF信号激励器和RF功率放大器产生的通过匹配网络38的RF电流),气体注入组件可以有示于图15A和15B的形式之一。
泵42可以是市场上能买到的5000升/秒的涡轮分子泵,该分子泵邻近等离子源30安装并和经由中间真空室40和排气流区域48和等离子体源30连接。选择这一安装布局是因为现在能得到的5000升/秒的涡轮分子泵方向上不能倒立定位。
和源30相联系的气体注入组件包括注入孔口和排气区域,后者经由中间真空室40和泵42沟通。这样,该气体注入组件和排气孔口两者将被等离子体源30包围的处理区域和中间真空室40分离开来。
第二实施方案可以使用位于中间真空室上方的几个较小的涡轮分子泵。
第三实施方案可以有公开在未决临时美国专利申请第60/114,453号上的形式,该申请提交于1998年12月30日,名称为等离子泵,代理卷号第71469/238073号,在此引入它的公开内容作为参考;可以有公开在前面提到的国际申请PCT/US99/12827中的形式。这一实施方案提供提高的泵送效率和空间利用率。
图18是一类似图17的图,说明根据本发明的PME反应器的进一步的实施方案。示于图18的反应器的许多组成部分和示于图17A,17B,17C中的组成部分相同。这些组成部分用在图17A,17B,17C中使用的同样的参考数字识别,并且将不再描述。
和图17的实施方案的情形相同,示于图18的实施方案的组成部分由一支撑架支撑,该架安装在辊轮上以便使该反应室被移动到生产设施内的任意期望的位置。
等离子源30的顶部由一气体注入/排出平板60界定,该板装备有气体管道入口62。图17的实施方案也将装备一气体注入/排出平板和气体管道入口,虽然这些在图17中并未特别识别。
示于图18的实施方案和图17的实施方案的基本不同是在等离子源30上面布置一涡轮分子泵64,该泵和等离子源30垂直对准。涡轮分子泵64通过一门入口阀66和一转换管68和平板60分开。
在示于图18的反应器的一优选的实施方案中,代之图17的5000升/秒的泵,涡轮分子泵是一单一的3000升/秒的泵。
一伸缩管70和与卡盘36相联系的顶针72也示于图18中,但未示于图17中。在本技术中的常规做法是,伸缩管70使卡盘36垂直运动,同时确保被等离子体30包围的处理区域保持密封。为了通过晶片处理组件34从处理区域移开,操作顶针72以便从静电卡盘36的表面举起晶片,在本技术中是常规的。
图19A,19B和19C是说明注入/排气平板的三个可能实施方案的平面图,这些板可用在图18的反应器中,也可用在图17的反应器中。
在图19A中,板80配备有几个同心排的气体注入口82,环绕一含有一阵列真空回流口84的中心区域,回流口84将和泵64相通。边界86将被口82占据的区域和被口84占据的区域分开。
图19B说明含有阵列气体注入口92的平板90,注入口92被环形阵列的真空回流口94包围。边界96将被注入口92占据的区域和被回流口94占据的区域分开。在这一实施方案中,每一回流口有相对大的直径,该回流口和泵64相通。
图19C说明板100的实施方案,在板100中,气体注入口102基本上散布于真空回流104之中。气体注入口102被排列成能形成多个圆形的、同心的环,真空回流口104也这样排列,气体注入口102的环和真空回流口104的环交替。当然,这些口的其它排列式样也可考虑。
如在此早先描述的那样,送到等离子体源的RF功率的振幅将被调制以产生有利于特定处理过程的等离子状态。例如,根据本发明,可以调制该RF源功率在一“低”功率电平和一“高”功率电平之间,和气室中的例如CF4以及Ar气的交换同步。然而,在功率电平调制和气体交换间可能有一小于π弧度的相位偏移。
在这一时间标度上的RF功率的时间调制,主要地由匹配等离子体源的时变负载阻抗的能力限制,并由此保持100%的功率传递,使用机械装置调节电容器的常规RF匹配网络,仅可达到2-5秒的控制脉冲宽度,采取100步进以限定RF功率脉冲在1%的精确内(最快的匹配网络响应时间达到250到500毫秒)。像在此和气体交换速率有关的先前讨论的那样,RF源功率的调制是用来操作能和气体滞留时间(或气体交换时间)相比拟的脉冲宽度。这要求依1-10毫秒的时间量程使RF源功率脉动的能力,这当然超过当前匹配网络的能力。
根据本发明,通过使用自激振荡器(FRO)可以达到期望的功率调制速率,自激震荡器(FRO)公开在未决的临时美国专利申请第60/143548中,该申请提交于1999年7月13日,名称为“产生感应耦合等离子体的射频功率源”,代理卷号第251105号,在此引入它的公开内容作为参考。这一RF功率源结合一自激振荡器,自激振荡器自动调整输入RF频率响应负载共振频率变化。FRO的使用消除了对匹配网络和固态RF功率源的需要,并且用一局域化于该等离子源的RF部分和远离放置的DC功率源代替它们。当在ESRF源中等离子状态由于RF功率,压强,温度,质量流量和/或气体种类的改变而变化时,RF频率(或振荡器频率)响应该等离子源的内在频率的改变相应地调整。对提出的解决方案存在几个优点,包括可靠性,重复性,速度,脉冲能力以及使用频率监视作为该等离子状态诊断。
由于输入的变化,FRO对等离子源变化的响应被限制到该气体/等离子体稳定在该反应器内的时间。这可能是运转状态期间的数十微秒以及起始状态期间的高达1毫秒。该FRO在运转和起始期间不需要机械调谐的事实,意味着在该输入RF功率源的响应中没有额外的迟延。这样,FRO证明了在零和确定的功率水平之间以及具有30微秒上升时间和50微秒的下降时间的不同的功率水平之间,脉冲化RF功率的能力。
不同于具有一匹配网络的固态功率源,(该功率源能产生2到5毫秒(msec)的持续时间或宽度的脉冲),该FRO可以产生具有3到5毫秒(msec)的时间脉冲宽度的RF功率脉冲。另外,该FRO在不同的功率水平间脉动的能力允许该RF功率使用复合多水平的、循环的、或非循环的时间依赖性的变化。
该FRO系统包括一高压DC功率源和一含有一电子管的振荡器,该电子管包含一可变电压的栅极以控制电子穿过该电子管的流动。所有的这些组成部分经由一螺线圈感应地耦合到等离子源室。在该电路中的电子噪音产生初始微扰,一优选的波长被放大。产生的振荡持续依赖于反馈电压的增益是否大于一。随着该等离子体中的状态的变化,由反馈造成的跨过该电子管的电压也变化,相继地,该电子管自调该振荡频率。
有两种选择描述在最后提到的未决的临时美国专利申请中,达到脉冲工作的一种方法是使电子管的栅电压脉动。用这一方法,已经分别达到了30和50微秒的脉冲上升和下降时间。第二种方法是脉动B+功率源。虽然这一脉冲化是在较高的功率上,但是它允许脉冲上升和下降时间变短以及重复率变高。
这样,FRO是当前优选的将脉冲RF功率传送到等离子体源以及卡盘的系统,下面将描述这一点。FRO能使脉冲处理以超过500Hz的高速率进行,并提供一用于RF功率水平或等离子密度控制的坚固的系统。等离子密度控制需要等离子密度测量以及已测量的等离子密度值的反馈。
另一方法,似乎更昂贵一些,需要使用与一相位/振幅检测器结合的频率敏捷RF功率发生器以便于频率的反馈控制。在这一方式中,可以调制RF功率,同时由于改变RF频率,最大功率转移可保持不变。这一类型的发生器公开在美国专利申请#5,688,357上,于1997年11月18日颁给Hanawa。然而,对于根据本发明的系统,它可以修改成含有一脉冲调制的RF放大器,该放大器插在系统中取代已经存在的放大器。
还有一方法要使用一具有功率脉冲化能力的常规固态RF发生器,或一RF信号激励器和有脉冲化功率能力的RF放大器,该放大器具有一含有预置电容器设置的匹配网络,该设置被设计成用于每一功率电平。由于电容器设置是固定的,脉冲时间并不被机械单元的响应限制。第一例可以含有能使在预置的电容器之间转换成为可能的一开关。用于这一系统的第二例可以包括一公共激励器,处于公共激励信号输出端之间的移相器,两个或多个RF功率放大器,两个或多个预置匹配网络,该网络设计成用于特定的功率电平,两个或多个电感线圈,该电感线圈环绕该等离子体源。例如如果选择了两个功率电平,那么这两个功率放大器可以相位相差180度工作以便产生一连串的RF功率。然而,可以改变信号之间的相差和每一信号的占空度。在每种情况下,由于该匹配网络的固定的性质,不能得到准确的阻抗匹配;然而,如果反射功率可接受,通过增加来自放大器的总功率输出,正向功率可增加到期望的水平。
这样,已经描述了用脉冲调制RF功率驱动等离子源的方法,现在将描述一控制气体种类交换的方法。前面描述了使用CF4和Ar作为气体种类的刻蚀过程。这里将被交换的两气体种类更一般地标明为A和B。然而,应该理解,对一定的过程可以有多于二种的气体种类和相应的RF循环,对此下面将更详细地描述。
图20A,20B,20C是三个图,表明三个时间调制处理成份的振幅和相关的相位调制一例,这三成份是图20A中的气体种类,图20B中的RF源功率和图20C中的加到卡盘上的RF偏压功率。假定气体交换脉冲周期Tg至少约等于RF源和卡盘偏压功率周期TRF-S和TRF-b。然而,一般地,并不总是这样要求。
在图20A中,气体交换循环由它的周期Tg确定,两气体的半循环的各自的脉冲宽度是τA和τB,两气体的各自的质量流速是FA和FB。
类似地,在图20B中,RF源功率循环由它的周期TRF-s确定,每一RF源功率循环的两个半循环的各自的振幅是PA1和PB1,每一RF源功率循环的半循环的各自脉冲宽度是τA1和τB1,RF源功率半循环的各自位相,相对于各自的气体种类半循环,是φA1和φB1。
图20C示出用于施加到卡盘上的RF偏压功率的可比较的参数,它们是RF偏压功率循环周期TRF-b,每一RF偏压功率循环的两个半循环的各自的振幅PA2和PB2,每一RF偏压功率循环的半循环的各自的脉冲宽度τA2和τB2,和相对于各自气体种类半循环的RF源功率半循环的各自相位φA2和φB2。
进一步地根据本发明,源功率,偏压功率和/或气体流量的调制可以有一可变周期性,该周期性依赖于气室的状态和/或过程要求的变化。图20D示出这一调制模式的一例,该模式包括第一时间间隔T1,第二时间间隔T2和第三时间间隔T3,在第一时间间隔T1期间提供了含有一重复周期τ1的脉冲,在第二时间间隔T2期间提供了含有一重复周期τ2的脉冲,在第三时间间隔T3期间不存在调制。这一模式对许多应用可能是有利的,在这些应用中一刻蚀或沉积过程包含几步。每一步可以和时间间隔T1,T2,T3,等的各自一个相联系。每一步可以和不同的气室状态,对不同刻蚀速率的要求相联系,这些状态和要求比如是先于过程终点的刻蚀速率的可能减小,刻蚀过程的不同的选择性,等。
由于上面描述的系统的复杂性,尤其是独立变量的数目,使用一神经网络可能是有用的,其中,一中央计算机可以接受来自电子系统的现场诊断和/或预先储存的处理数据,包括来自数据库的期望的刻蚀率,选择性,特征外型参数等,并调整大参数空间以便取得最佳结果。神经网络对多变量统计分析的使用在文献中有许多资料记载。
到现在为止已给出的描述中,已经假定最小脉冲宽度被限制成最小气体交换时间。这可能是1到10毫秒(msec)。然而,气体和RF功率的脉冲化并不限在到这一时间范围。例如,它们可被调制在1毫秒(msec)。可能出现,在这一时间段气体不能完全交换,因此有一延迟时间,这一时间可以通过相应于气体脉冲化的RF功率的相位调节提供。这只是一个例子。就在极高脉冲速率的非最佳情形来讲,该相移能使调节该过程到一最佳状态。
可以有多于两种的气体成份和过程成份。这样,这些成份可由Pij和φij等表示,这里指数“i”和j”分别表示气体成份和过程成份。每一参数名义上可根据经验设定,并可根据过程结果的观察调整。例如,可以使用下面将讨论的等离子体密度监视,或现场发射谱,以通过反馈给系统成份提供实时控制以调整这些参数。
将气体种类以及RF源功率的调制和施加到卡盘上的RF偏压功率的调制结合在一起,以控制离子能量和离子能量分布。该离子能量直接和DC自偏压相联系,该自偏压存在于基片上,并提供驱动电位以便加速正离子穿过等离子罩(plasma sheath)到达基片上。
当RF功率经由耦合电容施加到支撑基片的卡盘上时,由于穿过等离子罩的电子和正离子的迁移率的不同,产生DC自偏压。产生DC自偏压的机理和技术在现有技术中已非常清楚。
传送到卡盘上的RF偏压功率的调制将包括下列步骤:(i)RF功率振幅的调制,(ii)脉冲成分之间的相位调制,(iii)RF信号内的谐波含量的调制。下面讨论每一调制的意义。
相对于气室内的气体交换,传送到卡盘上的RF偏压功率的振幅和相位的时间调制,以类似于上面描述的关于RF源功率的振幅和相位的时间调制的方式实现。如同RF源功率的情况,可以使用一自激振荡器(FRO)将RF功率加到卡盘上。
联系到RF偏压卡盘的谐波含量和振幅的调制,必须考虑控制等离子罩的问题。在氧化物刻蚀中,可以相信,一般地,目前能得到的等离子反应器在晶片RF驱动和地之间呈现一电路阻抗,超过等离子罩的阻抗一个数量级多。如此高的阻抗水平阻止有效等离子罩控制的实现。具有足够低阻抗的一等离子反应器结构公开在这里早先引用的共同未决的国际申请PCT/US99/07962上。
典型地在等离子反应器内,反应器中的地电极和驱动电极(即,卡盘)之间的阻抗可以归因于几个部分。反应器的几何形状要求从卡盘到地的电通路穿过包围卡盘和它的支架的伸缩管,穿过从卡盘延伸到反应室壁,延伸到外部壁的辐条,穿过等离子体源并到注入板。这更详细地公开在这里早先引用的国际申请PCT/US99/07962上。示于图14A和14B中的反应器的几何形状删去上面电通路的几个部分,即,气室壁,伸缩管和气室底的辐条。卡盘紧靠等离子源底部放置,事实上,卡盘充当反应室的底壁。卡盘设计成能上下运动,这样它可以垂直向下移动进入负荷锁定室以便接受或输送晶片,然后垂直向上移动并锁定到它的运转位置,在这里它充当等离子源的底部。由于通过消除几个电抗造成的气室阻抗的减小,等离子罩的控制变得更切实可行。
在这里早先已经讨论了,脉冲化输送到等离子体源的RF功率的方法,以及使用FRO以方波形式能达到1%精确度的RF振幅调制。然而,最终目的是以类似RF振幅控制的方式去控制等离子体密度。这要求现场监测等离子体密度以便为一调节RF振幅的控制系统提供反馈。
除脉冲化气体注入和RF功率水平外,根据本发明的等离子体操作也可包括,从一气体或功率水平脉冲到另一气体或功率水平脉冲,或在每一这样的脉冲期间,改变处理过程气室压强。
虽然上面详细地描述了这一发明的仅几个示范性的实施方案,熟练的技术人员将容易地理解,在这些示范性的实施方案中,在本质上不离开本发明的新颖教导和优点的情况下,许多改动是可能的。从而,所有的这些改动确定为包括在本发明的范围内。
Claims (27)
1.一种在反应器室中的基片上进行等离子体辅助处理的方法,包括:
将至少一种处理气体引入到反应器室中;
通过在该室内建立RF电磁场并使该场和处理气体相互作用而在该反应器室中产生等离子体;以及
使该电磁场有一循环地变化在至少两个值之间的能量水平,每一能量水平足以维持该等离子体,使得每一能量水平值和基片上的各个不同的处理过程的执行相联系。
2.根据权利要求1的方法,其中,在所述使该电磁场有一循环地变化在至少两个值之间的能量水平的步骤中,使电磁场的能量水平根据一非方波函数变化。
3.根据权利要求1的方法,其中,在所述使该电磁场有一循环地变化在至少两个值之间的能量水平的步骤中,使电磁场的能量水平根据正弦,斜坡,或阶跃函数变化。
4.根据权利要求1的方法,其中,在所述使该电磁场有一循环地变化在至少两个值之间的能量水平的步骤中,使电磁场的能量水平在至少三个值中变化,每一值的大小足以维持该等离子体。
5.根据权利要求1的方法,其中,在所述使该电磁场有一循环地变化在至少两个值之间的能量水平的步骤中,使电磁场的能量水平在各个不同的时间间隔期间,周期性地随各个不同的重复周期变化。
6.根据权利要求1的方法,进一步包括维持反应器室中一循环变化的气压。
7.根据权利要求1的方法,进一步包括,在第一时间周期将第一处理气体引入到反应器室,在紧随第一时间周期的第二时间周期,将含有与第一处理气体成分不同的第二处理气体引入该反应器室。
8.根据权利要求7的方法,进一步包括在将另一种处理气体引入反应器室前,从反应室将早先引入的处理气体的一种几乎全部抽取出。
9.根据权利要求8的方法,其中所述该电磁场有一循环地变化在至少两个值之间的能量水平的步骤,用于在第一时间周期的一主要部分期间使该能量水平具有两个值中的第一个,在第二时间周期的一主要部分期间使该能量水平具有两个值中的第二个。
10.根据权利要求9的方法,其中所述的引入第一处理气体和引入第二处理气体的步骤以循环的方式重复。
11.根据权利要求10的方法,其中每一时间周期具有小于100毫秒的持续时间。
12.根据权利要求11的方法,其中基片是一安放在卡盘上的晶片,进一步包括将RF偏压加到该卡盘上。
13.根据权利要求12的方法,其中所述的施加RF偏压的步骤包括循环地在两个值之间改变该偏压。
14.根据权利要求13的方法,其中该RF偏压和该RF电磁场的场强的循环变化同时改变。
15.根据权利要求10的方法,其中,在所述的引入第一处理气体和引入第二处理气体的步骤中,以根据一非方波函数而变化的流速引入每一处理气体。
16.根据权利要求10的方法,其中,在所述的引入第一处理气体和引入第二处理气体的步骤中,以根据一正弦,斜坡,或阶跃函数而变化的流速引入每一处理气体。
17.根据权利要求7的方法,进一步包括,在紧随第二时间周期的第三时间周期期间,引入至少第三处理气体,该气体含有与第一和第二处理气体的每一种不同的成分。
18.根据权利要求7的方法,进一步包括在反应器室中维持一循环变化的气压。
19.用于在基片上执行等离子辅助处理的一反应器,所述的反应器包括:
一气室,包围等离子体区域;
一紧靠该等离子区域的气体注入组件,用于将一定量的处理气体输送到该等离子体区域;
用于在该等离子体区域中产生RF电磁场的RF电源,该场和处理气体相互作用以产生等离子体,该电磁场具有一循环地变化在至少两个值之间的能量水平,每一能量水平足以维持该等离子体;
一支撑部件,用于支撑气室中的基片和等离子区域相联通;以及
一真空泵,和等离子体区域相通,用于以一定的速率抽取处理气体以便在该等离子体区域中保持一选定的真空压强。
20.根据权利要求19的反应器,其中所述的气体注入组件包括:一配备有多个气体注入喷嘴的气体注入板;多个气体注入阀,连接每一个阀用于给所述的喷嘴中至少各一个提供气体;以及耦连于所述阀的阀控装置,用于使处理气体间歇地被提供给每一所述喷嘴。
21.根据权利要求19的反应器,其中所述气体注入组件的运转用于在第一时间周期期间将第一处理气体引入所述的气室,在紧随第一时间周期之后的第二时间周期期间,将含有与第一处理气体不同成分的第二处理气体引入气室。
22.根据权利要求20的反应器,其中所述的阀控装置的运转用于以脉冲的形式将每一处理气体引入所述的气室。
23.根据权利要求20的反应器,其中每一所述注入阀是一电磁或压电器件。
24.根据权利要求20的反应器,其中所述每一注入阀被连接用于给所述喷嘴的单独各一个提供气体。
25.根据权利要求20的反应器,其中所述每一注入阀被连接用于给所述喷嘴的各个多个喷嘴提供气体。
26.根据权利要求20的反应器,其中每一所述气体注入喷嘴是超声注入喷嘴。
27.根据权利要求19的反应器,其中所述气体注入板进一步配备有多个排气孔口,通过它们处理气体从所述等离子体区域流向所述的真空泵。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14917799P | 1999-08-17 | 1999-08-17 | |
US60/149,177 | 1999-08-17 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1369021A CN1369021A (zh) | 2002-09-11 |
CN100371491C true CN100371491C (zh) | 2008-02-27 |
Family
ID=22529101
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB008113297A Expired - Lifetime CN100371491C (zh) | 1999-08-17 | 2000-08-09 | 脉冲等离子体处理方法及其设备 |
Country Status (9)
Country | Link |
---|---|
US (1) | US7166233B2 (zh) |
EP (1) | EP1214459B1 (zh) |
JP (1) | JP4819267B2 (zh) |
KR (1) | KR100750420B1 (zh) |
CN (1) | CN100371491C (zh) |
AT (1) | ATE420454T1 (zh) |
DE (1) | DE60041341D1 (zh) |
TW (2) | TWI267562B (zh) |
WO (1) | WO2001012873A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105489464A (zh) * | 2011-11-15 | 2016-04-13 | 朗姆研究公司 | 等离子体处理系统中的惰性主导脉冲 |
Families Citing this family (269)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070048882A1 (en) * | 2000-03-17 | 2007-03-01 | Applied Materials, Inc. | Method to reduce plasma-induced charging damage |
AU2001288232A1 (en) * | 2000-08-10 | 2002-02-25 | Tokyo Electron Limited | Method and apparatus for tuning a plasma reactor chamber |
US6689220B1 (en) * | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
US20020197402A1 (en) * | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US9255329B2 (en) * | 2000-12-06 | 2016-02-09 | Novellus Systems, Inc. | Modulated ion-induced atomic layer deposition (MII-ALD) |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US6777037B2 (en) * | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US8877000B2 (en) * | 2001-03-02 | 2014-11-04 | Tokyo Electron Limited | Shower head gas injection apparatus with secondary high pressure pulsed gas injection |
US7288293B2 (en) * | 2001-03-27 | 2007-10-30 | Apit Corp. S.A. | Process for plasma surface treatment and device for realizing the process |
WO2003002860A2 (en) * | 2001-06-29 | 2003-01-09 | Tokyo Electron Limited | Directed gas injection apparatus for semiconductor processing |
JP2003173757A (ja) * | 2001-12-04 | 2003-06-20 | Nissin Electric Co Ltd | イオンビーム照射装置 |
KR100449645B1 (ko) * | 2002-01-23 | 2004-09-22 | 주식회사 아이피에스 | 자기 ald 박막증착방법 |
US7217336B2 (en) * | 2002-06-20 | 2007-05-15 | Tokyo Electron Limited | Directed gas injection apparatus for semiconductor processing |
US6967154B2 (en) | 2002-08-26 | 2005-11-22 | Micron Technology, Inc. | Enhanced atomic layer deposition |
US7534363B2 (en) * | 2002-12-13 | 2009-05-19 | Lam Research Corporation | Method for providing uniform removal of organic material |
US7169231B2 (en) * | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
TW200511430A (en) * | 2003-05-29 | 2005-03-16 | Tokyo Electron Ltd | Plasma processing apparatus and plasma processing method |
JP2005072260A (ja) * | 2003-08-25 | 2005-03-17 | Sanyo Electric Co Ltd | プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法 |
US20060065622A1 (en) * | 2004-09-27 | 2006-03-30 | Floyd Philip D | Method and system for xenon fluoride etching with enhanced efficiency |
US7553684B2 (en) * | 2004-09-27 | 2009-06-30 | Idc, Llc | Method of fabricating interferometric devices using lift-off processing techniques |
JP4506677B2 (ja) * | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7473637B2 (en) | 2005-07-20 | 2009-01-06 | Micron Technology, Inc. | ALD formed titanium nitride films |
US7335602B2 (en) * | 2006-01-18 | 2008-02-26 | Freescale Semiconductor, Inc. | Charge-free layer by layer etching of dielectrics |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US7763546B2 (en) * | 2006-08-02 | 2010-07-27 | Qualcomm Mems Technologies, Inc. | Methods for reducing surface charges during the manufacture of microelectromechanical systems devices |
US20110027999A1 (en) * | 2006-08-16 | 2011-02-03 | Freescale Semiconductor, Inc. | Etch method in the manufacture of an integrated circuit |
US8053372B1 (en) * | 2006-09-12 | 2011-11-08 | Novellus Systems, Inc. | Method of reducing plasma stabilization time in a cyclic deposition process |
US20080156772A1 (en) * | 2006-12-29 | 2008-07-03 | Yunsang Kim | Method and apparatus for wafer edge processing |
KR101097625B1 (ko) * | 2007-03-27 | 2011-12-22 | 캐논 아네르바 가부시키가이샤 | 진공 처리 장치 |
US7768766B2 (en) * | 2007-06-01 | 2010-08-03 | Lam Research Corporation | Plasma processing system ESC high voltage control |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
US9123509B2 (en) | 2007-06-29 | 2015-09-01 | Varian Semiconductor Equipment Associates, Inc. | Techniques for plasma processing a substrate |
US9105449B2 (en) * | 2007-06-29 | 2015-08-11 | Lam Research Corporation | Distributed power arrangements for localizing power delivery |
KR20090022557A (ko) * | 2007-08-31 | 2009-03-04 | 삼성전자주식회사 | 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법 |
JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
JP4430718B2 (ja) * | 2008-03-21 | 2010-03-10 | 三井造船株式会社 | 原子層成膜装置 |
US20110068084A1 (en) * | 2008-07-10 | 2011-03-24 | Canon Anelva Corporation | Substrate holder and substrate temperature control method |
US7719754B2 (en) * | 2008-09-30 | 2010-05-18 | Qualcomm Mems Technologies, Inc. | Multi-thickness layers for MEMS and mask-saving sequence for same |
JP2010283095A (ja) * | 2009-06-04 | 2010-12-16 | Hitachi Ltd | 半導体装置の製造方法 |
US8659335B2 (en) | 2009-06-25 | 2014-02-25 | Mks Instruments, Inc. | Method and system for controlling radio frequency power |
JP5397215B2 (ja) * | 2009-12-25 | 2014-01-22 | ソニー株式会社 | 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム |
JP2011144412A (ja) * | 2010-01-13 | 2011-07-28 | Honda Motor Co Ltd | プラズマ成膜装置 |
KR101214758B1 (ko) * | 2010-02-26 | 2012-12-21 | 성균관대학교산학협력단 | 식각 방법 |
FI124414B (fi) * | 2010-04-30 | 2014-08-29 | Beneq Oy | Lähde ja järjestely substraatin käsittelemiseksi |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9443753B2 (en) * | 2010-07-30 | 2016-09-13 | Applied Materials, Inc. | Apparatus for controlling the flow of a gas in a process chamber |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8828883B2 (en) * | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
WO2012060940A1 (en) | 2010-11-04 | 2012-05-10 | Novellus Systems, Inc. | Ion-induced atomic layer deposition of tantalum |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8633452B2 (en) | 2011-07-13 | 2014-01-21 | Fei Company | Methods and structures for rapid switching between different process gases in an inductively-coupled plasma (ICP) ion source |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130119018A1 (en) * | 2011-11-15 | 2013-05-16 | Keren Jacobs Kanarik | Hybrid pulsing plasma processing systems |
US8822913B2 (en) | 2011-12-06 | 2014-09-02 | Fei Company | Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions |
US8883028B2 (en) * | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
DE102012200878B4 (de) * | 2012-01-23 | 2014-11-20 | Forschungsverbund Berlin E.V. | Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US8722526B2 (en) | 2012-07-27 | 2014-05-13 | Veeco Ald Inc. | Growing of gallium-nitrade layer on silicon substrate |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US20140120735A1 (en) * | 2012-10-31 | 2014-05-01 | Macronix International Co., Ltd. | Semiconductor process gas flow control apparatus |
US8969212B2 (en) * | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9434612B2 (en) | 2012-11-30 | 2016-09-06 | Elwha, Llc | Systems and methods for producing hydrogen gas |
US9156688B2 (en) | 2012-11-30 | 2015-10-13 | Elwha Llc | Systems and methods for producing hydrogen gas |
WO2014085594A2 (en) * | 2012-11-30 | 2014-06-05 | Elwha Llc | Systems and methods for producing hydrogen gas |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
CN103898613B (zh) * | 2012-12-24 | 2017-07-07 | 中微半导体设备(上海)有限公司 | 等离子体刻蚀方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9006109B2 (en) * | 2013-03-27 | 2015-04-14 | Infineon Technologies Ag | Semiconductor devices and methods for manufacturing semiconductor devices |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
JP6336719B2 (ja) * | 2013-07-16 | 2018-06-06 | 株式会社ディスコ | プラズマエッチング装置 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9401263B2 (en) * | 2013-09-19 | 2016-07-26 | Globalfoundries Inc. | Feature etching using varying supply of power pulses |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
JP6374647B2 (ja) * | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US20150129131A1 (en) * | 2013-11-14 | 2015-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor processing apparatus and pre-clean system |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
JP5921580B2 (ja) * | 2014-01-15 | 2016-05-24 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
FR3017241B1 (fr) | 2014-01-31 | 2017-08-25 | Commissariat Energie Atomique | Procede de gravure plasma |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
JP6396699B2 (ja) * | 2014-02-24 | 2018-09-26 | 東京エレクトロン株式会社 | エッチング方法 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP6327970B2 (ja) * | 2014-06-19 | 2018-05-23 | 東京エレクトロン株式会社 | 絶縁膜をエッチングする方法 |
KR101745686B1 (ko) * | 2014-07-10 | 2017-06-12 | 도쿄엘렉트론가부시키가이샤 | 기판의 고정밀 에칭을 위한 방법 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
JP6315809B2 (ja) * | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160181116A1 (en) * | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
US10100407B2 (en) * | 2014-12-19 | 2018-10-16 | Lam Research Corporation | Hardware and process for film uniformity improvement |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9530667B2 (en) * | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US9741539B2 (en) * | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US20170162366A1 (en) * | 2015-12-08 | 2017-06-08 | Asm Ip Holding B.V. | Film forming apparatus, recording medium, and film forming method |
US20190055648A1 (en) * | 2016-01-06 | 2019-02-21 | Toshiba Mitsubishi-Electric Insustrial Systems Cor | Gas supply apparatus |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
KR102362462B1 (ko) * | 2016-03-29 | 2022-02-14 | 도쿄엘렉트론가부시키가이샤 | 피처리체를 처리하는 방법 |
JP6770848B2 (ja) | 2016-03-29 | 2020-10-21 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
JP6784530B2 (ja) * | 2016-03-29 | 2020-11-11 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
KR102549308B1 (ko) | 2016-03-29 | 2023-06-30 | 도쿄엘렉트론가부시키가이샤 | 에칭 장치 |
KR20230162155A (ko) | 2016-04-20 | 2023-11-28 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
CN106234557A (zh) * | 2016-10-10 | 2016-12-21 | 成都沃特塞恩电子技术有限公司 | 一种射频功率源和射频解冻装置 |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102410571B1 (ko) | 2016-12-09 | 2022-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 열적 원자층 식각 공정 |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP2019036655A (ja) | 2017-08-18 | 2019-03-07 | ソニーセミコンダクタソリューションズ株式会社 | エッチング方法およびエッチング加工装置 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
JP2019102483A (ja) * | 2017-11-28 | 2019-06-24 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US20200058469A1 (en) * | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
WO2021236359A1 (en) | 2020-05-19 | 2021-11-25 | Tokyo Electron Limited | Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11328902B1 (en) | 2021-06-09 | 2022-05-10 | XP Power Limited | Radio frequency generator providing complex RF pulse pattern |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4824690A (en) * | 1984-03-03 | 1989-04-25 | Standard Telephones And Cables Public Limited Company | Pulsed plasma process for treating a substrate |
US4891118A (en) * | 1987-11-25 | 1990-01-02 | Fuji Electric Co., Ltd. | Plasma processing apparatus |
US4935661A (en) * | 1985-06-29 | 1990-06-19 | Stc Plc | Pulsed plasma apparatus and process |
US4993358A (en) * | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
CN1218984A (zh) * | 1997-11-27 | 1999-06-09 | 日本电气株式会社 | 溅射装置及用其制造半导体器件的方法 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3677799A (en) | 1970-11-10 | 1972-07-18 | Celanese Corp | Vapor phase boron deposition by pulse discharge |
US3721583A (en) | 1970-12-08 | 1973-03-20 | Ibm | Vapor phase epitaxial deposition process for forming superlattice structure |
FR2320774A1 (fr) | 1974-01-10 | 1977-03-11 | Radiotechnique Compelec | Procede et dispositif de depot de materiau dope |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4263088A (en) | 1979-06-25 | 1981-04-21 | Motorola, Inc. | Method for process control of a plasma reaction |
US4401507A (en) | 1982-07-14 | 1983-08-30 | Advanced Semiconductor Materials/Am. | Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions |
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
JPS6050923A (ja) * | 1983-08-31 | 1985-03-22 | Hitachi Ltd | プラズマ表面処理方法 |
KR890004881B1 (ko) * | 1983-10-19 | 1989-11-30 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마 처리 방법 및 그 장치 |
US5164040A (en) | 1989-08-21 | 1992-11-17 | Martin Marietta Energy Systems, Inc. | Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
US5688357A (en) | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
JPH08172081A (ja) * | 1995-08-28 | 1996-07-02 | Hitachi Ltd | プラズマ表面処理装置 |
JP3220383B2 (ja) * | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
GB9616225D0 (en) * | 1996-08-01 | 1996-09-11 | Surface Tech Sys Ltd | Method of surface treatment of semiconductor substrates |
US6093332A (en) * | 1998-02-04 | 2000-07-25 | Lam Research Corporation | Methods for reducing mask erosion during plasma etching |
JP3186689B2 (ja) * | 1998-03-27 | 2001-07-11 | 株式会社日立製作所 | プラズマ処理方法およびその装置 |
JP4221859B2 (ja) * | 1999-02-12 | 2009-02-12 | 株式会社デンソー | 半導体装置の製造方法 |
-
2000
- 2000-08-09 CN CNB008113297A patent/CN100371491C/zh not_active Expired - Lifetime
- 2000-08-09 KR KR1020027002007A patent/KR100750420B1/ko active IP Right Grant
- 2000-08-09 AT AT00952656T patent/ATE420454T1/de not_active IP Right Cessation
- 2000-08-09 WO PCT/US2000/021667 patent/WO2001012873A1/en active Application Filing
- 2000-08-09 EP EP00952656A patent/EP1214459B1/en not_active Expired - Lifetime
- 2000-08-09 DE DE60041341T patent/DE60041341D1/de not_active Expired - Lifetime
- 2000-08-09 JP JP2001516957A patent/JP4819267B2/ja not_active Expired - Lifetime
- 2000-08-16 TW TW089116559A patent/TWI267562B/zh not_active IP Right Cessation
- 2000-08-16 TW TW095111487A patent/TWI293769B/zh not_active IP Right Cessation
-
2002
- 2002-02-15 US US10/076,099 patent/US7166233B2/en not_active Expired - Lifetime
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4824690A (en) * | 1984-03-03 | 1989-04-25 | Standard Telephones And Cables Public Limited Company | Pulsed plasma process for treating a substrate |
US4935661A (en) * | 1985-06-29 | 1990-06-19 | Stc Plc | Pulsed plasma apparatus and process |
US4891118A (en) * | 1987-11-25 | 1990-01-02 | Fuji Electric Co., Ltd. | Plasma processing apparatus |
US4993358A (en) * | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
CN1218984A (zh) * | 1997-11-27 | 1999-06-09 | 日本电气株式会社 | 溅射装置及用其制造半导体器件的方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105489464A (zh) * | 2011-11-15 | 2016-04-13 | 朗姆研究公司 | 等离子体处理系统中的惰性主导脉冲 |
CN105489464B (zh) * | 2011-11-15 | 2018-02-02 | 朗姆研究公司 | 等离子体处理系统中的惰性主导脉冲 |
Also Published As
Publication number | Publication date |
---|---|
EP1214459B1 (en) | 2009-01-07 |
CN1369021A (zh) | 2002-09-11 |
US20020160125A1 (en) | 2002-10-31 |
EP1214459A4 (en) | 2006-07-26 |
EP1214459A1 (en) | 2002-06-19 |
DE60041341D1 (de) | 2009-02-26 |
US7166233B2 (en) | 2007-01-23 |
WO2001012873A1 (en) | 2001-02-22 |
TW200629337A (en) | 2006-08-16 |
ATE420454T1 (de) | 2009-01-15 |
KR100750420B1 (ko) | 2007-08-21 |
TWI293769B (en) | 2008-02-21 |
JP4819267B2 (ja) | 2011-11-24 |
JP2003507880A (ja) | 2003-02-25 |
TWI267562B (en) | 2006-12-01 |
KR20020040775A (ko) | 2002-05-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100371491C (zh) | 脉冲等离子体处理方法及其设备 | |
JP7397247B2 (ja) | プラズマ処理のための制御のシステム及び方法 | |
US11670486B2 (en) | Pulsed plasma chamber in dual chamber configuration | |
KR102465689B1 (ko) | 듀얼 플레넘 샤워헤드를 사용하는 준안정 활성화된 라디칼의 선택적인 스트립 및 에칭 시스템들 및 방법들 | |
KR101115439B1 (ko) | 이중 음극 주파수 혼합을 사용한 플라즈마 제어 | |
KR100812829B1 (ko) | 플라즈마 성막 장치 및 플라즈마 성막 방법 | |
US9111728B2 (en) | E-beam enhanced decoupled source for semiconductor processing | |
US6794301B2 (en) | Pulsed plasma processing of semiconductor substrates | |
US9177756B2 (en) | E-beam enhanced decoupled source for semiconductor processing | |
US6395641B2 (en) | Apparatus and method for pulsed plasma processing of a semiconductor substrate | |
US6200651B1 (en) | Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source | |
US20120258607A1 (en) | E-Beam Enhanced Decoupled Source for Semiconductor Processing | |
US20100003827A1 (en) | Method and device for etching a substrate by means of plasma | |
US20100193129A1 (en) | Apparatus for generating dielectric barrier discharge gas | |
US20080060579A1 (en) | Apparatus of triple-electrode dielectric barrier discharge at atmospheric pressure | |
JP2001023959A (ja) | プラズマ処理装置 | |
US20040094400A1 (en) | Method of processing a surface of a workpiece | |
SG193943A1 (en) | E-beam enhanced decoupled source for semiconductor processing | |
KR20160089281A (ko) | 플라즈마 처리 방법 및 플라즈마 처리 장치 | |
CN100517553C (zh) | 用于膨胀热等离子体的电感耦合的系统和方法 | |
JP2007266522A (ja) | プラズマ処理装置およびそれを用いた加工方法 | |
KR100803338B1 (ko) | 플라즈마 처리장치 | |
CN114121582B (zh) | 等离子体处理装置及其工作方法 | |
KR20220065677A (ko) | 기판 처리 장치 및 기판 처리 방법 | |
CN113767453A (zh) | 等离子处理装置以及等离子处理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term | ||
CX01 | Expiry of patent term |
Granted publication date: 20080227 |