JP7397247B2 - プラズマ処理のための制御のシステム及び方法 - Google Patents

プラズマ処理のための制御のシステム及び方法 Download PDF

Info

Publication number
JP7397247B2
JP7397247B2 JP2021507606A JP2021507606A JP7397247B2 JP 7397247 B2 JP7397247 B2 JP 7397247B2 JP 2021507606 A JP2021507606 A JP 2021507606A JP 2021507606 A JP2021507606 A JP 2021507606A JP 7397247 B2 JP7397247 B2 JP 7397247B2
Authority
JP
Japan
Prior art keywords
pulse
plasma
plasma processing
coupled
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021507606A
Other languages
English (en)
Other versions
JP2021534545A (ja
Inventor
ランジャン,アロック
ヴェンツェク,ピーター
充敬 大秦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021534545A publication Critical patent/JP2021534545A/ja
Application granted granted Critical
Publication of JP7397247B2 publication Critical patent/JP7397247B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

関連出願の相互参照
本出願は、2018年8月14日に出願された米国仮特許出願第62/718,454号、2018年8月30日に出願された米国仮特許出願第62/724,879号、2018年12月13日に出願された米国非仮特許出願第16/219,535号の優先権を主張し、該出願は、それら全体が参照により本明細書に組み込まれる。
本発明は、概してプラズマ処理に関し、特定の実施形態において、プラズマ処理のための制御のシステム及び方法に関する。
半導体デバイスの製造は、基板上の多くの材料層の形成、パターニング、及び除去を含む一連の技術に関わる。現在の及び次世代の半導体デバイスの物理的及び電気的仕様を満足するためには、構造的完全性を維持しつつ加工寸法を減少させることを可能にする処理フローが、様々なパターニングプロセスに対して望ましい。歴史的に、微細加工により、トランジスタは、1つの平面内に生成され、その上に配線/メタライゼーションが形成され、したがって、トランジスタは、2次元(2D)回路又は2D製作物として特徴付けられている。微細化の取り組みにより、2D回路内の単位面積当たりのトランジスタ数は、大幅に増加したものの、微細化がナノメートルスケールの半導体デバイス製作ノードに入るにつれて、微細化の取り組みは、より大きな課題に直面している。したがって、トランジスタが互いの上に積層される3次元(3D)半導体デバイスに対する要望が存在する。
デバイス構造が垂直方向に高密度化され展開されるにつれて、高精度の材料処理に対する要望が、より切実なものになる。プラズマプロセスにおける選択性、プロファイル制御、フィルムコンフォマリティ、及び均一性の間のトレードオフは、管理することが困難であり得る。したがって、精密に材料を操作し、高度な微細化の課題を満たすためには、隔離し、エッチング及び成膜則に最適なプロセス条件を制御する機器及び技術が望ましい。
プラズマプロセスは、一般に半導体デバイスの製造において使用される。例えば、プラズマエッチング及びプラズマ成膜は、半導体デバイス製作の間の共通プロセスステップである。ソース電力及びバイアス電力の組み合わせは、プラズマ処理の間にプラズマを発生させ、方向付けするために使用され得る。図16は、プラズマ処理中のソース電力及びバイアス電力の印加についての従来のタイミング図を示す。一番上の図には、ソース電力又はバイアス電力についてのはっきりしたパルスが存在しない。中央の図では、連続的なバイアス電力がパルスなしで印加される一方、100μsのソースパルスが印加される。一番下の図では、連続的なソース電力がパルスなしで印加される一方、80μsのバイアスパルスが印加される。
実施形態によれば、プラズマ処理システムは、真空チャンバと、第1の結合電極と、真空チャンバ内に配置される基板ホルダと、第2の結合電極と、コントローラと、を含む。基板ホルダは、基板を支持するように構成される。第1の結合電極は、真空チャンバにおいてプラズマ発生のための電力を提供するように構成される。第1の結合電極は、ソース電力パルスをプラズマに結合するようにさらに構成される。第2の結合電極は、バイアス電力パルスを基板に結合するように構成される。コントローラは、ソース電力パルスとバイアス電力パルスとの間の第1のオフセット期間を制御するように構成される。
別の実施形態によれば、装置は、真空チャンバ、結合電極、及び基板ホルダを含む。結合電極は、ソース電力供給ノードに結合され、ソース電力パルスの第1のシーケンスを用いて真空チャンバ内でプラズマを発生させるように構成される。基板ホルダは、バイアス電力供給ノードに結合され、真空チャンバ内に配置される。基板ホルダは、プラズマによって処理されるべき基板を支持するように構成される。バイアス電力パルスの第2のシーケンスが、プラズマのイオンを基板に向かって加速するように構成される。
さらに別の実施形態によれば、プラズマ処理の方法は、第1のパルス変調回路を用いて第1の信号を第1の関数発生器に出力することと、第1の信号を出力することに応答して、第1の関数発生器を用いて第1のソース電力パルスを発生させることと、プラズマを発生させるために真空チャンバの第1の結合電極において第1のソース電力パルスを提供することと、第1のソース電力パルスに対して遅延をトリガすることによって、バイアス電力パルスを発生させることと、真空チャンバの第2の結合電極においてバイアス電力パルスを提供することと、真空チャンバに配置された基板上でプラズマ成膜又はエッチングプロセスを実行することと、を含む。バイアス電力パルスを提供することによって、プラズマから基板に向かってイオンを加速する。
本発明のより完全な理解及びその利点について、添付図面と併せて用いられる以下の説明に対して参照が行われる。
本発明の実施形態による、ソース電力パルス及びバイアス電力パルスを含む例としてのプラズマ処理の方法のパルスシーケンスの概略タイミング図及び対応する定性グラフを示す。 本発明の実施形態による、ソースパルス変調回路及びパルス変調タイミング回路を含む例としてのプラズマ処理システムのブロック図を示す。 本発明の実施形態による、ソースパルスに対する正及び負の前方オフセットを有するバイアスパルスを含む例としてのプラズマ処理の方法の概略タイミング図を示す。 本発明の実施形態による、ソースパルスに対する負及び正の後方オフセットを有するバイアスパルスを含む例としてのプラズマ処理の方法の概略タイミング図を示す。 本発明の実施形態による、ソースパルスに対する動的オフセットを有するバイアスパルスを含む例としてのプラズマ処理の方法の概略タイミング図を示す。 本発明の実施形態による、バイアス電力パルス列を含む例としてのプラズマ処理の方法の概略タイミング図を示す。 本発明の実施形態による、特定のガス流に関連するソースパルス及びバイアスパルスタイミングを含む例としてのプラズマ処理の方法の概略タイミング図を示す。 本発明の実施形態による、プラズマ処理の方法を実行するために使用され得る例としての容量結合プラズマ処理システムの概略図を示す。 本発明の実施形態による、プラズマ処理の方法を実行するために使用され得る例としての誘導結合型プラズマ処理システムの概略図を示す。 本発明の実施形態による、プラズマ処理の方法を実行するために使用され得る例としての表面波プラズマ処理システムの概略図を示す。 本発明の実施形態による、プラズマ処理の方法を実行するために使用され得る例としてのリモートプラズマ処理システムの概略図を示す。 本発明の実施形態による、非共振ソース電力結合電極を含む例としてのプラズマ処理システムのブロック図を示す。 本発明の実施形態による、共振ソース電力結合電極を含む例としてのプラズマ処理システムのブロック図を示す。 本発明の実施形態による、例としての螺旋共振器プラズマ処理システムの概略図を示す。 本発明の実施形態による、例としてのプラズマ処理の方法を示す。 ソース電力及びバイアス電力を含む複数の従来のタイミング図を示す。
異なる図面における対応する数字及び記号は、概して、特に指示のない限り、対応する部分を参照する。図面は、実施形態の関連態様を明瞭に示すように描かれ、必ずしも縮尺通りに描かれていない。図面に描かれる特徴の端部は、特徴の範囲の終端を必ずしも示していない。
様々な実施形態を作成すること及び使用することが、以下に詳述される。しかしながら、本明細書で説明される様々な実施形態は、多種多様な具体的文脈において適用可能であると理解されるべきである。述べられる具体的実施形態は、様々な実施形態を作成及び使用する具体的なやり方を単に例示するものであり、限定された範囲において解釈されるべきではない。
本明細書で説明される様々な技術が、エッチング及び成膜プロセスを含む、高精度プラズマ処理技術を用いたデバイス製作に関係する。複数の例が、半導体製造において、材料が高精度で操作されるべきである、前工程(FEOL、例えば、トランジスタ製作)から後工程(BEOL、例えば、配線製作)までの両方に現れる。従来のプラズマ処理装置及び方法は、反応副産物だけでなく、ラジカルポピュレーション及び混合物の制御、イオンポピュレーションの制御、並びに電子ポピュレーションの制御を含むプラズマ特性の制御が不足することがあり、よって、高度な微細化要件を満たすことが不十分である。
高度なデバイストポロジのためにプラズマを用いて加工対象物表面上においてナノメートルスケールで材料を操作するとき、例えば、イオンエネルギー分布(IEDF)、イオン温度(T)、イオン角度分布(IADF)、電子エネルギー分布(EEDF)、電子温度(T)などの1つ又は複数のプラズマ特性を精密に制御することが望ましい場合がある。ガス圧力、電力などの従来のプラズマプロセスパラメータ、及びより具体的には、電磁場がプラズマを形成するためにガス環境に結合される方法(例えば、容量性結合、誘導性結合など)が、これらのプラズマ特性に影響を及ぼし得る。しかしながら、現在の及び将来の最先端のデバイス製作のニーズを満たすためには、IEDF、T、IADFなどのプラズマ特性が、製作対象物に対する差別化された目標成果を達成するために効率的に操作され得るように、比較的より複雑な方法論が有益であり得る。
例えば、プラズマ処理中にマイクロエレクトロニクスデバイスのトポグラフィカルフィーチャに対するイオン入射角度を制御するための能動的な制御メカニズムは当技術分野において存在しないということが広く受け入れられている。完全に垂直なイオン又は実質的に垂直なイオンを基板表面に送出することが有益であり得る。加えて、パターン化構造の側壁上での散乱について考慮及び/又は補正しつつ、構造内に送出されるイオンビーム角度を制御することも有益であり得る。例えば、このイオン分布角度の制御は、高アスペクト比コンタクト(HARC)型エッチング及びパターニング適用並びに他のエッチング/成膜プロセスに役立ち得る。
本明細書で説明される様々な実施形態は、反応性イオンエッチング又はプラズマ成膜などにおけるプラズマ処理についてイオン送出のためのプラズマ特性を制御するシステム及び方法を提供する。様々な実施形態は、プラズマ処理のための逆位相の、非同期の、及び/又は位相がずれたソース電力及びバイアス電力のパルス化を提供し得る。パルス化は、ソース電力及びバイアス電力両方についてのパルス幅、周波数、及び振幅、並びにパルス間の1つ又は複数のオフセットを含むプラズマ処理パラメータを用いて制御され得る。プラズマ処理パラメータを用いて、バイアス電力パルスは、ソースプラズマから遅延して、又はソースプラズマと同時に、パルス化され得る。イオン温度T、電子温度T、電子密度n、シース電圧降下Vsheath、プラズマバルク電位Vなどの様々なプラズマ特性が、本明細書で説明されるシステム及び方法の実施形態を用いて変調及び制御され得る。
以下で与えられる実施形態は、プラズマ処理システムを動作させる様々なシステム及び方法、特に、ソース電力パルス及びバイアス電力パルスを含むプラズマ処理方法を説明する。以下の説明は、実施形態を説明する。ソース電力パルス及びバイアス電力パルスを含むプラズマ処理のための制御方法の実施形態の例としての概略タイミング図及び定性グラフが、図1を用いて説明される。ソースパルス変調回路及びパルス変調タイミング回路を含むプラズマ処理システムの実施形態が、図2を用いて説明される。ソースパルスに対する正及び負の前方オフセットを有するバイアスパルスを含むプラズマ処理の方法の2つの実施形態が、図3を用いて説明される。ソースパルスに対する負及び正の後方オフセットを有するバイアスパルスを含むプラズマ処理の方法の2つの実施形態が、図4を用いて説明される。動的オフセットを有するバイアスパルスを含むプラズマ処理の方法の実施形態が、図5を用いて説明される。バイアス電力パルス列を含むプラズマ処理の方法の実施形態が、図6を用いて説明される。特定のガス流に関連するソースパルス及びバイアスパルスタイミングを含むプラズマ処理の方法の実施形態が、図7を用いて説明される。プラズマ処理システムの複数の実施形態が、図8~図11を用いて説明される。ソースパルス変調回路及びパルス変調タイミング回路を含むプラズマ処理システムの2つの実施形態が、図12及び図13を用いて説明される。螺旋共振器プラズマ処理システムの実施形態が、図14を用いて説明される。プラズマ処理の方法の実施形態が、図15を用いて説明される。
図1は、本発明の実施形態による、ソース電力パルス及びバイアス電力パルスを含むプラズマ処理のための制御の例としての方法のパルスシーケンスの概略タイミング図及び対応する定性グラフを示す。ソース電力は、プラズマ処理システムのプラズマ処理チャンバに結合され、マイクロエレクトロニクス加工対象物の処理のためのプラズマを発生させるために使用される。バイアス電力も、プラズマ処理チャンバに結合され、他の機能に加えてマイクロエレクトロニクス加工対象物の表面に向かってイオンを加速するために使用され得る。
図1を参照すると、タイミング図100は、イオンを発生させマイクロエレクトロニクス加工対象物(例えば、半導体ウェハ)に送出するためにパルス化された、ソース電力1及びバイアス電力2を含む。具体的には、タイミング図100は、時間的に少なくとも部分的にオーバラップしない、1つ又は複数のソース電力(SP)パルス11及びバイアス電力(BP)パルス12を有するパルスシーケンスを含む。例えば、ソース電力1は、SPパルス11を発生させるようにオン状態とオフ状態との間で切り替えられる交流(AC)電力であってもよい(AC電力の周波数はSPパルス11の周波数より高い)。同様に、バイアス電力2も、AC電力であってもよい。代替として、ソース電力1及びバイアス電力2のうちの1つ又は両方が、DC電力であってもよい。
様々な実施形態において、パルスシーケンスは、パルス変調周期5で周期的であり、複数のSPパルス11及びBPパルス12を含む。しかしながら、場合によっては、パルスシーケンスは、単一のSPパルス及び単一のBPパルスを指してもよい。さらに、周期性は有益であり得るが、パルスシーケンスが周期的であるか、又はSPパルスがBPパルスと同一の周期を有するという厳密な要件はない。
グラフ102に示されるように、発生されたプラズマの温度曲線31及び密度曲線32は、タイミング図100の印加ソース電力1に従って変化する。SPパルス11は、電子密度n、電子温度T、及びイオン温度Tなどの様々なプラズマパラメータにおける増加によって特徴付けられるプラズマグロー位相を発生させる。SPパルス11の最初の印加は、プラズマ温度(例えばT及びT)におけるスパイク21をもたらし得る。スパイク21は緩和して残りのSPパルスの間、偽平衡状態23になる。SPパルスが終了した後、プラズマは、イオン及び電子が冷却してその結果T、Tの低下をもたらし得る、アフタグロー位相に入る。電子及びイオンが、両極性拡散によって壁に拡散してnの低下をもたらす。様々な実施形態では、アフタグロー位相の間、BPパルスが、発生されたイオンをマイクロエレクトロニクス加工対象物の表面に向かって加速するように印加される。
グラフ102に示されるように、T及びTは、アフタグロー位相においてnよりも急速に低下し得る。電子密度nは利用可能なイオンと相関するため、アフタグロー位相の間のBPパルスの印加は、マイクロエレクトロニクス加工対象物の表面に低温イオンを加速するために特に有効であり得る。アフタグロー位相の間、プラズマ電流も減少し得る。バイアス電力が(例えば、BPパルスを用いて)印加されるときに、この電流降下が、プラズマシースにわたる大きな電位差V及びアフタグロー位相におけるVDC(RF DC自己バイアス電圧)を見込み得る。より低いイオン温度と共に増加した電位差V及び時間平均降下dc電圧降下VDCは、イオン流束の指向性を改善し得る。
したがって、SPパルス及びBPパルスは、時間的に少なくとも部分的にオーバラップしない。一実施形態では、SPパルス及びBPパルスは、タイミング図100に示されるように、完全に位相が異なる。他の実施形態では、SPパルス及びBPパルスは、部分的にオーバラップしてもよい。したがって、方法の実施形態は、ソース電力1がその間バイアス電力2なしで印加される非ゼロ時間間隔を含み、同様にバイアス電力2がその間ソース電力1なしで印加される非ゼロ時間間隔を含む。
図1をさらに参照すると、タイミング図100のパルスシーケンスは、パルス変調プロセスパラメータを用いて発生され得る。パルス変調プロセスパラメータは、ソース電力1シーケンスに対応するSPパルス幅3及びSPパルス振幅4、並びにバイアス電力2シーケンスに対応する前縁バイアスオフセット6、BPパルス幅7、BPパルス振幅8、及び後縁バイアスオフセット9を含み得る。特に、各SPパルス11は、SPパルス幅3及びSPパルス振幅4を含み、各BPパルス12は、BPパルス幅7及びBPパルス振幅8を含む。特に断りのない限り、本明細書で使用される振幅は、所与のパルスの平均頂点間振幅を指すことに言及されるべきである。
SPパルス11及びBPパルス12の両方について、所与のパルス変調周期5の間のデューティサイクル(%)を選ぶことによって、特定のパルス幅が実施され得る。例えば、パルス変調周期が150μsに設定される場合、56%のソース電力デューティサイクル(%)及び28%のバイアス電力デューティサイクル(%)は、84μsのSPパルス幅及び42μsのBPパルス幅をもたらす。一実施形態では、ソース電力1及びバイアス電力2は、同一のパルス変調周期を有する。代替として、ソース電力1及びバイアス電力2が、別々のパルス変調周期で動作してもよい。様々な実施形態では、SPパルス11及びBPパルス12のいずれかのデューティサイクル(%)は、約3%~約90%の範囲に及び得る。一実施形態では、SPパルス11のデューティサイクル(%)は、約5%である。別の実施形態では、SPパルス11のデューティサイクル(%)は、約50%である。さらに別の実施形態では、SPパルス11及びBPパルス12両方のデューティサイクル(%)が、約40%である。SPパルス11及びBPパルス12について適当なデューティサイクル(%)は、所与のプラズマプロセスの具体的特性に従って選ばれ得る。
本明細書で説明されるパルス幅のいずれかの期間は、所与のプラズマプロセスの特定パラメータに基づいて選ばれるように任意の適当な値を有し得る。例えば、SPパルス幅3は、約10μs~約100μsの範囲に及び得る。代替として、SPパルス幅3は、より大きくてもよく、又はより小さくてもよい。例えば、SPパルス幅3は、いくつかの実施形態においてミリ秒のオーダであってもよい。同様に、BPパルス幅7は、10μs~約100μsの範囲に及び得るが、SPパルス幅3と同様に、より大きくてもよく、又はより小さくてもよい。さらに、SPパルス幅3及びBPパルス幅7は、所与のプラズマプロセスに従って独立して選ばれ得る。
図1に示されるように、SPパルス11とBPパルス12との間の遅延は、前縁バイアスオフセット6と呼ばれ得る。前縁バイアスオフセット6は、パルス変調周期5のパーセンテージとして実施され得る。例えば、前縁バイアスオフセットは、パルス変調周期5の-10%~+10%の間で変動し得る。代替として、前縁バイアスオフセット6は、特定の時間値として設定してもよい。例えば、ソース電力1及びバイアス電力2が150μsに設定された同一パルス変調周期を有する上記の場合を続けると、10%の前縁バイアスオフセットが、SPパルスの後縁とBPパルスとの間に15μsの遅延をもたらす。前述の例では、前縁バイアスオフセット6は、正に設定される。しかしながら、前縁バイアスオフセット6は、また、0又は負であってもよい。
同様に、BPパルス12とSPパルス11との間の遅延は、後縁バイアスオフセット9と呼ばれてもよく、SPパルス幅3、前縁バイアスオフセット6、及びBPパルス幅7の組み合わせを通して実施され得る。上記の例を続けると、56%のソース電力デューティサイクル(%)、10%の前縁バイアスオフセット、及び28%のバイアス電力デューティサイクル(%)が、全パルス変調周期の94%を占める。したがって、この具体例では、BPパルスの後縁とSPパルスの前縁との間にパルス変調周期の6%に等しい遅延が存在する。パルス変調周期は150μsであるため、後縁バイアスオフセット9であるこの遅延は、9μsに等しい。前縁バイアスオフセット6と同様に、後縁バイアスオフセット9は、正である必要はなく、0又は負であってもよい。
本明細書で説明されるオフセットのいずれかの期間は、所与のプラズマプロセスの特定パラメータに基づいて選ばれる、任意の適当な値を有し得る。例えば、前縁バイアスオフセット6は、約-50μs~約50μsの範囲に及んでもよい。様々な実施形態では、前縁バイアスオフセット6は、約-15μs~約20μsの間であってもよい。一実施形態では、前縁バイアスオフセット6は、約20μsである。別の実施形態では、前縁バイアスオフセット6は、約10μsである。さらに別の実施形態では、前縁バイアスオフセット6は、約1μsである。
パルス振幅は、対応する高振幅状態及び低振幅状態を有し得る。例えば、高振幅及び低振幅は、電圧レベルであってもよい。具体的には、SPパルス11のそれぞれが、SP低振幅状態13とSP高振幅状態14との間で振動し、BPパルス12のそれぞれが、BP低振幅状態17とBP高振幅状態18との間で振動し得る。それぞれの高振幅及び低振幅が望ましいレベルになるように、正又は負のDCバイアスは、ソース電力1又はバイアス電力2の1つ又は両方に印加され得る。
温度曲線31及び密度曲線32の両方は本来定性的であることに言及されるべきである。したがって、その両方が、T、T、及びnなどのプラズマパラメータに対するパルスシーケンスの効果に関連する重要な現象を示し得るが、いずれも、特定のスケールで描かれるように意図されず、又は定性的に正確であるように意図されない。さらに、明確化するために簡略化されていてもよい。例えば、Tが冷プラズマにおいて少なくともTよりも大きな規模であっても曲線の形状は類似であるため、電子温度T及びイオン温度Tは、グラフ102において単一の曲線として表されている。
図2は、本発明の実施形態による、ソースパルス変調回路及びパルス変調タイミング回路を含む例としてのプラズマ処理システムのブロック図を示す。図2のプラズマ処理システムは、例えば図1の方法などの、本明細書で説明される方法の実施形態のいずれかを実行するために使用され得る。
図2を参照すると、プラズマ処理システム200は、プラズマ処理チャンバ210に結合されるSP結合電極15を含む。例えば、プラズマ処理チャンバ210は、真空チャンバを含み得る。SP結合電極は、プラズマ処理チャンバ210へのソース電力の印加を可能にして、プラズマ60の発生をもたらし得る。様々な実施形態では、SP結合電極15は、プラズマ処理チャンバ210の周りに位置する導電コイルであり、一実施形態では1/4波長螺旋共振器である。別の実施形態では、SP結合電極15は、半波螺旋共振器である。代替として、他のSP結合電極、例としてアンテナ、平板電極、又は導波管などが使用されてもよい。
プラズマ処理システム200は、プラズマ処理チャンバ210に結合されるBP結合電極19をさらに含む。BP結合電極19は、処理されているマイクロエレクトロニクス加工対象物へのバイアス電力の印加を可能にし得る。様々な実施形態では、BP結合電極19は、基板ホルダであり、一実施形態では静電チャックである。
ソース電力は、SPパルス変調回路51を含むSP制御経路201を用いてプラズマ処理チャンバ210に結合され得る。SPパルス変調回路51は、SP高振幅状態14とSP低振幅状態13との間でソース信号を変調し得る。例えば、変調ソース信号は、図1を参照して説明されたような、SPパルス11に対応し得る。変調ソース信号は、変調ソース信号上に波形を重畳し得るSP関数発生器20によって受信され得る。当業者が認識し得るように、SPパルス変調回路51などのパルス変調回路が、例えば、AVTECH AVOZ-D2-Bパルス発生器型回路などの、レーザダイオードのための高電力パルスを発生させることが可能なレーザドライバを用いて、実施され得る。
SP関数発生器20は、1つの具体例では2235A HP関数発生器などの、当業者に知られることとなる任意の関数発生器を用いて実施されてもよく、変調ソース信号の振幅を増加させるように構成される、RF増幅器などの増幅回路も任意選択で含んでもよい。様々な実施形態では、SP関数発生器20は、信号発生器であってもよく、一実施形態では、無線周波数(RF)信号発生器であってもよい。代替として、SP関数発生器20は、マイクロ波関数発生器であってもよい。一実施形態では、SP関数発生器20は、任意波形発生器(AWG)であってもよい。
SP関数発生器20などの関数発生器は、発振回路を含む回路であってもよい。1つより多くの発振回路が、関数発生器に含まれてもよい。波形は、デジタル信号処理を用いて関数発生器によって発生され得る。デジタル出力は、次いで、アナログ波形を作り出すためにデジタルアナログ変換器で変換され得る。関数発生器は、振幅変調、周波数変調、又は位相変調などの変調関数も含んでもよい。
重畳した波形の周波数は、パルス変調周波数より高くてもよい。様々な実施形態では、重畳した波形の周波数は、RF周波数であってもよく、一実施形態では約13.56MHzである。結果として、結果として得られるSPパルスのそれぞれが、重畳した波形の複数サイクルを含み得る。波形形状は、正弦波、矩形波、鋸波などの周期的波形を含み得る。代替として、波形形状は、任意波形形状を発生させるように、様々な周波数の複数の正弦波の重畳などの非周期波を含んでもよい。
SP制御経路201は、任意選択のSPインピーダンス整合ネットワーク25を含み得る。当業者は、SPインピーダンス整合ネットワーク25などのインピーダンス整合ネットワークが、例えば米国特許出願公開第2009/0000942号明細書に記載されているような、補償信号をインピーダンス測定に基づいて位相シフトするフィードバック制御回路を用いて実施され得ると認識し得る。整合回路は、L型及びT型ネットワークの変形であってもよい。例えば、インピーダンス整合ネットワークは、インダクタ、コンデンサ、及び/又は可変コンデンサを含んでもよい。周波数同調、即ち、アンテナプラズマ共振と整合するための駆動周波数の調整は、整合の別の手段である。パルス化モードにおける周波数同調は、フィードバック制御を使用してプラズマインピーダンスと相関する電力又は電圧測定を利用し得る。
SP関数発生器20によって発生されるSPパルスは、SP結合電極15によってプラズマ処理チャンバ210に結合される前に、任意選択のSPインピーダンス整合ネットワーク25を通過し得る。任意選択のSPインピーダンス整合ネットワーク25は、SP結合電極15がプラズマ60に誘導結合される共振構造であるときなどの、あるプラズマ処理システムにおいて省略されてもよい。逆に、任意選択のSPインピーダンス整合ネットワーク25は、SP結合電極15が非共振であるときに含まれてもよい。任意選択のSPインピーダンス整合ネットワーク25は、負荷のインピーダンスを供給のインピーダンスと整合させることによってソース電力がプラズマ60に有効に結合されることを保証するために使用され得る。
図2をさらに参照すると、バイアス電力が、BP制御経路202を用いてプラズマ処理チャンバ210に結合され得る。BP制御経路202は、パルス変調タイミング回路52を通してSP制御経路201に結合され得る。パルス変調タイミング回路52は、SP制御経路201によって発生されるSPパルスのタイミングに対するBPパルスのタイミングを判断し得る。パルス変調タイミング回路52は、SPパルス変調回路51から信号を受信し、SPパルスの前縁又は後縁のいずれかによってトリガされる遅延を導入し得る。SPパルス変調回路51からパルス変調タイミング回路52への信号は、例として、SPパルス又はSPパルスを示すクロック信号であってもよい。代替として、SPパルス及びBPパルスは、クロックシグナリングを用いて実施され得るタイミングスケジュールに基づいて、互いにオフセットされ得る。例として、前縁バイアスオフセットパラメータがパルス変調周期の8%に設定される場合に、パルス変調タイミング回路52は、SPパルスの後縁によってトリガされた後でパルス変調周期の8%に等しい遅延を導入し得る。代替として、パルス変調タイミング回路52がSPパルスの前縁によってトリガされるように構成される場合に、パルス変調周期の8%+ソース電力デューティサイクル(%)の遅延が、パルス変調タイミング回路52によって導入され得る。さらなる代替として、パルス変調タイミング回路52は、BP制御経路202によって発生されるBPパルスのタイミングに対するSPパルスのタイミングを判断し得る。
当業者が認識し得るように、パルス変調タイミング回路52などのタイミング回路は、任意のタイミング回路を用いて実施され得る。1つの具体例では、Highland Technology T560 4チャンネルコンパクトデジタル遅延及びパルス発生器回路が、使用され得る。
SP制御経路201と同様に、BP制御経路202は、パルス変調タイミング回路52によってトリガされる任意選択のBPパルス変調回路53を含み得る。任意選択のBPパルス変調回路53は、BP高振幅状態とBP低振幅状態との間でバイアス信号を変調し得る。例えば、変調バイアス信号は、図1を参照して説明されたように、BPパルス12に対応し得る。代替として、任意選択のBPパルス変調回路53は省略されてもよく、遅延された変調ソース信号は、BPパルスに対応してもよい。
変調バイアス信号は、任意選択のBP関数発生器30によって受信され得る。任意選択のBP関数発生器30は、変調バイアス信号上に波形を重畳し得る。波形は、変調ソース信号上に重畳した波形に類似してもよく、又は異なってもよく、前述のような任意の所望の波形形状を有してもよい。任意選択のBP関数発生器30は、変調バイアス信号の振幅を増加させるために、増幅回路も任意選択で含んでもよい。一実施形態では、プラズマ処理チャンバ210に送出されるバイアス電力は、DC電力である。この場合、任意選択のBP関数発生器30は、省略されてもよい。増幅が必要とされるが関数発生は必要とされないいくつかの場合において、増幅回路が、任意選択のBP関数発生器30の代わりに含まれてもよい。
BPインピーダンス整合ネットワーク35も、任意選択のBP関数発生器30とBP結合電極19との間のBP制御経路202に含まれる。BPインピーダンス整合ネットワークは、負荷のインピーダンスを供給のインピーダンスに整合させることによってバイアス電力がプラズマ処理チャンバ210に有効に結合されることを保証するために使用され得る。
SP関数発生器20及び任意選択のSPインピーダンス整合ネットワーク25は、ソース電力を受信しSPパルスをSP結合電極15に提供するSP発生器回路240に含まれ得る。同様に、任意選択のBP関数発生器30及びBPインピーダンス整合ネットワーク35は、バイアス電力を受信しBPパルスをBP結合電極19に提供するBP発生器回路245に含まれ得る。
上述された要素のうちの1つ又は複数が、コントローラに含まれ得る。例えば、図2に示されるように、SPパルス変調回路51、パルス変調タイミング回路52、及び任意選択のBPパルス変調回路53が、コントローラ250に含まれ得る。コントローラ250は、プラズマ処理チャンバ210に対してローカルに配置され得る。代替として、コントローラ250は、プラズマ処理チャンバ210に対してリモートに配置されてもよい。コントローラ250は、SP制御経路201及びBP制御経路202に含まれる要素のうちの1つ又は複数とデータを交換することが可能であり得る。インピーダンス整合ネットワークのそれぞれが、コントローラ250によって制御されてもよく、又は個々のコントローラを含んでもよい。
コントローラ250は、プラズマを発生させること、及びイオンをマイクロエレクトロニクス加工対象物の表面に送出することに関連する様々な制御パラメータを設定し、モニタリングし、及び/又は制御するように構成され得る。制御パラメータは、ソース電力及びバイアス電力の両方についての電力レベル、周波数、及びデューティサイクル(%)、並びにバイアスオフセットパーセンテージを含み得るが、それらに限定されない。他の制御パラメータセットも用いられてもよい。例えば、SPパルス及びBPパルスのパルス幅、並びにバイアスオフセットが、パルス変調周期のデューティサイクル(%)として表わされるのではなく、直接入力されてもよい。
図3は、本発明の実施形態による、ソースパルスに対する正及び負の前方オフセットを有するバイアスパルスを含むプラズマ処理の例としての方法の概略タイミング図を示す。図3のタイミング図は、例えば、図1のタイミング図100などの他の実施形態のタイミング図の具体的実施であり得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図3を参照すると、タイミング図300は、SPパルス11の高振幅状態と、パルスシーケンスにおいて隣接SPパルス11と時間的にオーバラップしていない非オーバラップBPパルス312の高振幅状態との間に、正の前縁(+LE)バイアスオフセット306を含む。非オーバラップBPパルス312は、また、非オーバラップBPパルス幅307を含み、後縁バイアスオフセット9だけ後続のSPパルス11から離れている。
これに対して、タイミング図320が、SPパルス11の高振幅状態と、パルスシーケンスにおいて隣接SPパルス11と時間的に部分的にオーバラップする-LE BPパルス322の高振幅状態との間に、負の前縁(-LE)バイアスオフセット326を含むことを除いて、タイミング図320はタイミング図300に類似している。例えば、+LEバイアスオフセット306及び-LEバイアスオフセット326の両方が、それぞれのSPパルス11の後縁に対して測定される。その結果、-LEバイアスオフセット326などの負のオフセットは、-LE BPパルス322をもたらす。-LE BPパルス322は、また、オーバラップBPパルス幅327を含み、後縁バイアスオフセット9だけ後続のSPパルス11から離れている。
図4は、本発明の実施形態による、ソースパルスに対する負及び正の後方オフセットを有するバイアスパルスを含むプラズマ処理の例としての方法の概略タイミング図を示す。図4のタイミング図は、例えば、図1のタイミング図100などの他の実施形態のタイミング図の具体的実施であり得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図4を参照すると、タイミング図400は、+LEバイアスオフセット306に加えて、負の後縁(-TE)バイアスオフセット409を含む。パルスシーケンスのそれぞれの結果として得られる-TE BPパルス412は、-TE BPパルス幅407を有し、先行のSPパルス11とは時間的にオーバラップしないが、後続のSPパルス11とは時間的に部分的にオーバラップする。オフセットについてのこの例示において採用された慣例によれば、負のオフセット値は、隣接パルスとのオーバラップを示す。したがって、所与のパルスの後縁オフセットの場合、負のオフセットは、例えばタイミング図400中の-TEバイアスオフセット409について示されるように、パルスの後縁と次のパルスの前縁との間にオーバラップをもたらす。
同様に、タイミング図420は、SPパルス11の高振幅状態と、パルスシーケンスの先行及び後続のSPパルス11の両方と部分的にオーバラップするオーバラップBPパルス422の高振幅状態との間の-LEバイアスオフセット326に加えて負の-TEバイアスオフセット409を含む。オーバラップBPパルス422は、SPパルス11の各SPパルス幅3よりも長い期間の、オーバラップBPパルス幅427を含む。
図5は、本発明の実施形態による、ソースパルスに対する動的オフセットを有するバイアスパルスを含むプラズマ処理の例としての方法の概略タイミング図を示す。図5のタイミング図は、例えば図1のタイミング図100などの他の実施形態のタイミング図の具体的実施であり得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図5を参照すると、タイミング図500は、パルス変調周期5を有するSPパルス11及び動的に変化し得る可変前方オフセットバイアスオフセット(509、519、529)を有するBPパルス12を含む。具体的には、BPパルス12のそれぞれの前縁バイアスオフセットは、パルスシーケンスの各SPパルス11毎に変化し得る。正、0、及び負の前方又は後方オフセットのいかなる組み合わせでも可能である。例えば、第1のBPパルス12は、正の可変オフセット509と共に示され、第2の及び第3のBPパルス12は、0値の可変オフセット519及び負の可変オフセット529と共にそれぞれ示される。オフセットはパルス変調周期5毎に変化し得るが、オフセットは、複数のパルス変調周期の間その時点で同一のままであってもよく、又は徐々に変化してもよい。例えば、大きな正のオフセットは、それが0になり、その後負になるまで、周期毎に大きさが徐々に減少してもよい。
図6は、本発明の実施形態による、バイアス電力パルス列を含むプラズマ処理の例としての方法の概略タイミング図を示す。図6のタイミング図は、例えば図1のタイミング図100などの他の実施形態のタイミング図の具体的実施であり得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図6を参照すると、タイミング図600は、SPパルス11、及びBPパルス列幅607を有するBPパルス列612を含む。BPパルス列612は、前述の通り、BPパルス12の具体的実施形態であってもよい。BPパルス列612は、BPサブパルス622、並びに対応するBPサブパルス幅617及びBPサブパルス間隔619を含む。BPサブパルス622のそれぞれが、BPパルス12に類似して実施されてもよい。様々な実施形態では、BPサブパルス622は、ACパルスであり、一実施形態ではRFパルスである。別の実施形態では、BPサブパルス622は、DCパルスである。
図7は、本発明の実施形態による、特定のガス流に関連するソースパルス及びバイアスパルスタイミングを含むプラズマ処理の例としての方法の概略タイミング図を示す。図7のタイミング図は、図1のタイミング図100などの他の実施形態のタイミング図の1つ又は複数の具体的実施を組み込み得る。例えば、所与のプラズマプロセスは、複数の種類のガスを使用してもよく、そのそれぞれが、それに関連するタイミング図の実施形態の具体的実施を有し得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図7を参照すると、タイミング図700は、ソース電力1及びバイアス電力2に加えてガス源701を含む。ガス源701は、複数のガス及び/又はガスの混合物を含み得る。例えば、タイミング図700に示されるように、ガス源701は、第1のガス(G1)流期間735を有するG1ガス流731、及び第2のガス(G2)流期間765を有するG2ガス流761を含む。G1ガス流731及びG2ガス流761は、ガス流オフセット740だけ時間的に離れている。代替として、第2のガスは、G1ガス流731の間に導入されてもよく、G2ガス流761は、G1ガス流731と部分的にオーバラップしてもよい。
それぞれのガスは、それぞれのガス流期間に関連するパルスシーケンスを有し得る。具体的には、プラズマ処理パラメータのセットは、特定のガスがプラズマ処理チャンバに提供されている間、特定のガスについて具体的に適合されるパルスシーケンスを発生させるために、例えば図1及び図3~図6などの先行実施形態から各ガスに対して選択され得る。
タイミング図700に示されるように、G1ガス流731に関連するG1パルスシーケンス71は、G1 SPパルス幅703を有するG1 SPパルス711、並びにG1 BPパルス幅707及びG1 BPパルス振幅708を有するG1 BPパルス712を含む。G1 BPパルス712は、G1 LEバイアスオフセット706及びG1 TEバイアスオフセット709によって、G1 SPパルス711に対して時間的に画定される。G1パルスシーケンス71は、G1パルス変調周期705を有し、G1 LEソースオフセット736だけG1ガス流731の前縁からオフセットされ得る。
同様に、G2ガス流761は、G2 SPパルス幅743を有するG2 SPパルス751、並びにG2 BPパルス幅747及びG2 BPパルス振幅758を有するG2 BPパルス752を含む、関連するG2パルスシーケンス72を有する。G2 BPパルス752は、G2 LEバイアスオフセット746及びG2 TEバイアスオフセット749によって、G2 SPパルス751に対して時間的に画定される。G2パルスシーケンス72は、G2パルス変調周期745を有し、G2 LEソースオフセット766だけG2ガス流761の前縁からオフセットされ得る。図示されるように、プラズマ処理パラメータは、ガス流間で異なり得る。例えば、ソース電力及びバイアス電力両方についてのパルス幅、パルス振幅、周波数、オフセットなどは、ガス流と共に変化され得る。
SPパルス及びBPパルスがプラズマに結合される、本明細書で説明される実施形態は、図8~図11に示されるものなどの様々な装置によって実施され得る。図8は、例としての容量結合プラズマ処理システムの概略図を示し、図9は、例としての誘導結合型プラズマ処理システムの概略図を示し、図10は、例としての表面波プラズマ処理システムの概略図を示し、図11は、本発明の実施形態によるプラズマ処理の方法を実行するために使用され得る例としてのリモートプラズマ処理システムの概略図を示す。図8~図11のプラズマ処理システムは、それぞれ、例えば図2のプラズマ処理システム200などの他の実施形態のプラズマ処理システムの具体的実施であり得る。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図8を参照すると、容量結合プラズマ(CCP)処理システム800は、上部平板電極(UEL)815に結合されるSP発生器回路240に結合されるACソース電源881、及び下部平板電極(LEL)819に結合されるBP発生器回路245に結合されるACバイアス電源882を含む。ACソース電源881及びACバイアス電源882は、図1、図3~図7などにおいて前述した実施形態に従って、ソース電力及びバイアス電力をそれぞれ発生させ得る。CCP 860は、UEL 815とLEL 819との間の基板16付近にある接地されたプラズマ処理チャンバ810の中に形成される。LEL 819は、基板16を支持及び保持するための静電チャック(ESC)としても機能し得る。様々な実施形態において、プラズマは、電極のうちの少なくとも1つにRF電力を結合することによって形成される。UEL 815に結合されるAC電力は、LEL 819に結合されるAC電力とは異なるRF周波数を有してもよい。代替として、複数のRF電源が、同じ電極に結合されてもよい。さらに、直流(DC)電力が、上部電極及び/又は下部電極に結合されてもよい。
図9を参照すると、誘導結合型プラズマ(ICP)処理システム900は、誘導電極915に結合されるSP発生器回路240に結合されるACソース電源881、及びLEL 819に結合されるBP発生器回路245に結合されるACバイアス電源882を含む。この場合も、ACソース電源881及びACバイアス電源882は、図1、図3~図7などにおいて前述した実施形態に従って、ソース電力及びバイアス電力をそれぞれ発生させ得る。ICP 960は、誘導電極915(例えば、平板、又はソレノイド/螺旋コイル若しくはアンテナ)とLEL 819との間の基板16付近に形成される。誘電物質910は、ICP 960から誘導電極915を分離する。誘電物質910は、容量性結合効果を減少及び/又は防止し得る。
図10を参照すると、表面波プラズマ(SWP)処理システム1000は、マイクロ波導波管(μ-導波管)1014及びスロットアンテナ1015に結合されるSP発生器回路240に結合されるACソース電源881を含む。同様に、ACソース電源881及びACバイアス電源882は、図1、及び図3~図7などにおいて前述した実施形態に従って、ソース電力及びバイアス電力をそれぞれ発生させ得る。SWP 1060は、スロットアンテナ1015とLEL 819との間の基板16付近に形成される。SWP 1060は、同軸線及びμ-導波管1014を通してスロットアンテナ1015にRF電力をマイクロ波周波数で結合することによって形成される。(PV、おそらく、図10上の小さな詳細)スロットアンテナ1015は、穴の空いた平板又は他の構造として実施され得る。いくつかの実施形態では、スロットアンテナは、図9の誘電物質910に類似の誘電構造間に挟まれていてもよく、それによって、マイクロ波が中心から外側へ放射状に導波管(中心)から(例えば、波長がアンテナ構造を通して、及び/又は別の誘電物質を通して減少されるように誘電率を有するセラミック構造を通して)通過し得る。
図11を参照すると、リモートプラズマ処理システム1100は、基板付近に形成されるプラズマの代わりに、リモートプラズマ1160が基板16から離れた領域、例えば異なるプラズマチャンバ又はプラズマ処理チャンバ810の隔離部分に形成されることを除いて、図9のICP処理システム900に類似している。リモートプラズマ1160は、粒子隔離構造1118によって基板16から分離又は隔離される。粒子隔離構造1118は、リモートプラズマソースから基板16への荷電粒子の移送を妨げるように配置される、フィルタ、電線管、又はオリフィスプレートであってもよい。一実施形態では、リモートプラズマ1160は、ICPである。代替として、リモートプラズマ1160は、CCP、SWPなどであってもよい。
図12は、本発明の実施形態による、非共振ソース電力結合電極を含む例としてのプラズマ処理システムのブロック図を示す。図12のプラズマ処理システムは、例えば図1の方法などの、本明細書で説明される方法の実施形態のいずれかを実行するために使用され得る。
図12を参照すると、非共振プラズマ処理システム1200は、プラズマ処理チャンバ1210の非共振SP結合電極1215に結合されるソース電力1を供給するSP供給ノード81と、プラズマ処理チャンバ1210のバイアス電力結合電極19に結合されるバイアス電力2を供給するBP供給ノード82と、を含む。ソース電力1は、ソース電力設定を制御するように構成されるSPコントローラ1226を含むSP制御経路1201を通して非共振SP結合電極1215に結合される。例えば、SPコントローラ1226は、SP RF関数発生器及び増幅器1220のための利得設定、並びにSPインピーダンス整合ネットワーク1225のためのインピーダンス整合設定を調整し得る。同様に、バイアス電力2は、BP RF関数発生器及び増幅器1230並びにBPインピーダンス整合ネットワーク1235のバイアス設定を制御するBPコントローラ1236を含むBP制御経路1202を通してBP結合電極19に結合される。
非共振プラズマ処理システム1200は、非共振構造を用いてソース電力1を結合するため、SPインピーダンス整合ネットワーク1225が、プラズマにソース電力を効率的に提供するために含まれる。最大電力が非共振SP結合電極1215からプラズマに移送されるために、電力供給に対する負荷のインピーダンスは、電力供給自体のインピーダンスと同一であるべきである。例えば、電力供給に対する負荷のインピーダンスは、プラズマのインピーダンスによる影響を受け得る。プラズマのインピーダンスは、化学的性質、圧力、密度などの特定の急速に変化する条件に依存し得る。したがって、SPインピーダンス整合ネットワーク1225は、ソース電力1のプラズマ60への効率的な電力結合を維持しつつ、プラズマ条件が変化することを有益に可能にし得る。
SPパルス信号は、1つ又は複数のプラズマ処理パラメータを入力として受信し得るSPパルス変調回路1251を用いて発生される。例えば、図示されるように、SPパルス変調回路1251は、SPパルス周波数f及びSPパルス幅tp,Sを受信する。SPパルス変調回路1251は、次いで、f及びtp,Sを用いてSPパルス信号V(f,tp,S)を発生させる。一実施形態では、SPパルス信号V(f,tp,S)は、約5Vの高振幅状態及び約0Vの低振幅状態を含む。しかしながら、他の電圧レベルも可能である。SPパルス周波数fは、約0.1Hz~約10kHzの範囲に及び得る。代替として、SPパルス周波数fは、約1kHz~約5kHzの範囲に及び得る。
SP RF関数発生器及び増幅器1220は、ソース電力1をSP供給ノード81から受信し、SPパルス信号V(f,tp,S)をSPパルス変調回路1251から受信し、次いで、関数を用いてSPパルス信号V(f,tp,S)を増幅すること及び変調することによってSPパルスを発生させる。電力増幅は、数ワット(例えば、1~2W)~1000kW超の範囲に及び得る。一実施形態では、発生された関数は、AC信号を含み得る。AC信号は、ソース周波数において発生され得る。ソース周波数は、RFレンジ、超短波(VHF)レンジ、又は電磁スペクトルのマイクロ波(MW)レンジの範囲内に入ってもよい。代替として、発生された関数は、パルス化DC信号などのDC信号を含んでもよい。
SPパルスは、SPインピーダンス整合ネットワーク1225を通ってSP方向性結合器1227まで通過する。したがって、SP方向性結合器1227は、経時変化するソース電圧V(t)及びソース電流I(t)を有するSPパルスを受信する。SP方向性結合器1227は、SPパルスを非共振SP結合電極1215へ通過させるように構成される。SP方向性結合器1227は、また、図示される通り、SPコントローラ1226が順ソース電力Pf,Sと逆ソース電力Pr,Sとの比較に基づいてソース電力設定を調整することを有益に可能にし得る、SPコントローラ1226に結合される。例えば、順ソース電力Pf,Sは、順方向に(即ち、SP結合電極に向かって)送信される電力の大きさを示すものであってもよく、逆ソース電力Pr,Sは、逆方向に(即ち、SP結合電極から離れるように)反射する電力の大きさを示すものであってもよい。Pf,S及びPr,Sは、SPコントローラ1226によって測定され得る。
類似のフィードバック機構が、BPコントローラ1236と経時変化するバイアス電圧V(t)及びバイアス電流I(t)を受信するBP方向性結合器1237との間で実施されてもよく、それによって、バイアス電力設定が、順バイアス電力Pf,Bと逆バイアス電力Pr,Bとの比較に基づいて調整され得る。順バイアス電力Pf,B及び逆バイアス電力Pr,Bは、順方向に(即ち、BP結合電極に向かって)送信される電力及び逆方向に(即ち、BP結合電極から離れるように)反射される電力の大きさを示すものであってもよい。Pf,B及びPr,Bは、BPコントローラ1236によって測定され得る。
SP RF関数発生器及び増幅器1220、SPインピーダンス整合ネットワーク1225、SPコントローラ1226、及びSP方向性結合器1227は、非共振SP発生器回路1240に含まれ、非共振SP発生器回路1240は、SP供給ノード81からソース電力1を受信し、SPパルスを非共振SP結合電極1215に提供する。非共振SP発生器回路1240は、例えば、図2のSP発生器回路240の具体的実施であり得る。同様に、BP RF関数発生器及び増幅器1230、BPインピーダンス整合ネットワーク1235、BPコントローラ1236、及びBP方向性結合器1237は、BP発生器回路1245に含まれ、BP発生器回路1245は、BP供給ノード82からバイアス電力2を受信し、BPパルスをBP結合電極19に提供する。BP発生器回路1245は、例えば、図2のBP発生器回路245の具体的実施であり得る。
時間遅延tdelayは、SPパルス変調回路1251の出力に結合されるBP tdelayタイミング回路1252を用いてSPパルスとBPパルスとの間に導入され得る。SPパルス変調回路1251は、BP tdelayタイミング回路1252に信号を送信してもよく、その場合に、信号は、SPパルス、又はSPパルスを示すクロック信号であってもよい。BP tdelayタイミング回路1252は、時間遅延tdelayを入力において受信し、次いで、信号をBPパルス変調回路1253に送信する。一実施形態では、BP tdelayタイミング回路1252は、SPパルス信号の後縁によってトリガされる。代替として、BP tdelayタイミング回路1252は、SPパルス信号の前縁によってトリガされてもよい。BPパルス変調回路1253は、入力(例えば、BPパルス周波数f及びBPパルス幅tp,B)を用いてBPパルス信号を発生させる。BPパルス信号は、次いでBP RF関数発生器及び増幅器1230に送信される。
異なるガスがパルス化されるとき、交互の時間遅延が用いられてもよい。例えば、特定の時間遅延は、所与のプラズマプロセスの間、各ガス又はガスの組み合わせに対応し得る。さらに、SPパルス周波数f、SPパルス幅tp,S、BPパルス周波数f、BPパルス幅tp,Bなどの他のプラズマ処理パラメータが、特定のガス又はガスの組み合わせに対して変化してもよい。
BP RF関数発生器及び増幅器1230によって発生される関数は、AC信号を含み得る。AC信号は、バイアス周波数において発生され得る。バイアス周波数もまた、RFレンジ、VHFレンジ、又はMWレンジの範囲内に入ってもよい。例えば、ソース周波数は、約10MHzを超えてもよく、バイアス周波数は、約15MHzより小さくてもよい。代替として、例えば、ソース周波数は、約50MHzを超えてもよく、バイアス周波数は、約5MHzより小さくてもよい。さらなる代替として、ソース周波数は、約50MHz~約150MHzの範囲に及んでもよく、バイアス周波数は、約1MHz~約5MHzの範囲に及んでもよい。
図13は、本発明の実施形態による、共振ソース電力結合電極を含む例としてのプラズマ処理システムのブロック図を示す。図13のプラズマ処理システムは、例えば図1の方法などの、本明細書で説明される方法の実施形態のいずれかを実行するために使用され得る。
図13を参照すると、共振プラズマ処理システム1300は、共振SP結合電極1315を含むSP制御経路1301を含む。共振SP結合電極1315はインピーダンス整合なしでプラズマ60に結合する効率的なソース電力の利点を提供し得るため、SPインピーダンス整合ネットワーク1225がSP制御経路1301において省略されることを除いて、共振プラズマ処理システム1300は、非共振プラズマ処理システム1200と類似している。その結果、SP RF関数発生器及び増幅器1220は、SPコントローラ1327にフィードバックを提供し得るSP方向性結合器1227に直接SPパルスを提供する。SP RF関数発生器及び増幅器1220、SPコントローラ1226、及びSP方向性結合器1227は、共振SP発生器回路1340に含まれ、共振SP発生器回路1340は、SP供給ノード81からソース電力1を受信し、SPパルスを共振SP結合電極1315に提供する。共振SP発生器回路1340は、例えば図2のSP発生器回路240の具体的実施であり得る。
共振プラズマ処理システム1300は、また、ソース電力のアジャイルパルス化を有利に可能にし得る。例えば、インピーダンス整合ネットワークは、共振SP結合電極1315などの整合なし共振構造と同程度に高速に応答することが出来ない場合がある。したがって、より高いSPパルス周波数において、インピーダンス整合ネットワークは、効率的なソース電力をプラズマに提供するために、より低い周波数に制限され得る。様々な実施形態では、共振SP結合電極1315は、螺旋共振器アンテナ1329を含む。
図14は、本発明の実施形態による、例としての螺旋共振器プラズマ処理システムの概略図を示す。螺旋共振器プラズマ処理システムは、例として、図2のプラズマ処理システム200、図9のICP処理システム900、及び/又は図13の共振プラズマ処理システム1300などの他のプラズマ処理システムの具体的実施であってもよい。具体的には、ソース電力結合電極は、螺旋共振器アンテナとして形成される。同様に、ラベルが付与された要素は、前述の通りであってもよい。
図14を参照すると、螺旋共振器プラズマ処理システム1400は、螺旋共振器アンテナ1329として実施される共振SP結合電極1315を囲む、接地された外側構造1410を含み、螺旋共振器アンテナ1329は、同様に誘電体内側面1411を囲む。螺旋共振器アンテナ1329は、一端が接地され、他端が自由端である。ACソース電源881は、SP発生器回路240に結合され、SP発生器回路240は、接地された接続から適当な距離で螺旋共振器アンテナ1329に結合される。ソース電力結合位置(タップ位置とも呼ばれる)は、動作周波数及び他の考慮事項に依存し得る。共振SP結合電極1315に誘導結合される螺旋共振器プラズマ1460が発生される。例えば、誘電体内側面1411は、誘導結合を容易にするために、螺旋共振器プラズマ1460と螺旋共振器アンテナ1329との間に設けられ得る。ACバイアス電源882は、BP発生器回路245に結合されてもよく、BP発生器回路245は、下部平板電極(LEL)819に結合される。下部平板電極(LEL)819は、基板16を支持及び保持するための静電チャック(ESC)として機能する。
螺旋共振器アンテナ1329は、全波、半波、又は1/4波長アンテナであってもよい。例えば、螺旋共振器アンテナ1329が13.56MHzの周波数を有するRF電力を用いて駆動される場合、1/4波長螺旋共振器アンテナは、長さが約5.5mであってもよい。RF周波数が増加するにつれて、螺旋共振器アンテナ1329の長さが減少し得る。例えば、約50MHzで駆動される1/4波長螺旋共振器アンテナは、長さが約1.5mであってもよい。
図15は、本発明の実施形態による、プラズマ処理の例としての方法を示す。図15の方法は、例えば図2のプラズマ処理システム200などの、本明細書で説明されるプラズマ処理システムの実施形態のいずれかによって実行され得る。図15は、方法のステップを特定の順序に限定するように意図されないことに留意されたい。加えて、図15に説明されるステップのうちのいずれかが、任意の組み合わせで同時に、及び別々に実行されてもよい。したがって、以下の方法のステップの順序及び/又はタイミングの変形が、当業者に明らかであり得るように説明される方法の範囲内にある。
プラズマ処理の方法1500のステップ1501は、パルス変調回路を用いて関数発生器に信号を出力することを含む。ステップ1502は、信号を出力することに応答して、関数発生器を用いてSPパルスを発生させることを含む。ステップ1503は、プラズマを発生させるために、プラズマ処理チャンバのSP結合電極においてSPパルスを提供することを含む。ステップ1504は、SPパルスに対して遅延をトリガすることによって、BPパルスを発生させることを含む。ステップ1505は、プラズマ処理チャンバのBP結合電極においてBPパルスを提供することを含む。ステップ1506は、プラズマ処理チャンバに配置された基板上でプラズマ成膜又はエッチングプロセスを実行することであって、BPパルスを提供することによって、プラズマから基板に向かってイオンを加速する、実行することを含む。
本発明の例としての実施形態が、ここで要約されている。他の実施形態もまた、明細書及び本明細書で出願される特許請求の範囲の全体から理解され得る。
実施例1.プラズマ処理システムであって、真空チャンバと、真空チャンバにおいてプラズマ発生のための電力を提供するように構成される第1の結合電極であって、SPパルスをプラズマに結合するようにさらに構成される、第1の結合電極と、真空チャンバに配置される基板ホルダであって、基板を支持するように構成される基板ホルダと、BPパルスを基板に結合するように構成される第2の結合電極と、SPパルスとBPパルスとの間の第1のオフセット期間を制御するように構成されるコントローラと、を含む、プラズマ処理システム。
実施例2.第1の結合電極が、プラズマに容量結合され、プラズマ処理システムが、容量結合型プラズマ処理システムを含むか、又は第1の結合電極が、プラズマに誘導結合され、プラズマ処理システムが、誘導結合型プラズマ処理システムを含むか、又は第1の結合電極が、マイクロ波導波管及びスロットアンテナを通してプラズマに結合され、プラズマ処理システムが、表面波プラズマ処理システムを含む、実施例1のプラズマ処理システム。
実施例3.SPパルスを発生させるように構成される第1の関数発生器をさらに含み、コントローラが、第1のオフセット期間を発生させるように構成されるタイミング回路と、第1の関数発生器の入力に結合される第1の出力、及びタイミング回路に結合される第2の出力を含む、第1のパルス変調回路と、を含む、実施例1及び2のうちの1つのプラズマ処理システム。
実施例4.タイミング回路に結合される第2のパルス変調回路と、第2のパルス変調回路に結合され、BPパルスを発生させるように構成される、第2の関数発生器と、をさらに含む、実施例3のプラズマ処理システム。
実施例5.タイミング回路が、BPパルスとSPパルスとの間の第2のオフセット期間を制御するようにさらに構成される、実施例3及び4のうちの1つのプラズマ処理システム。
実施例6.第1のパルス変調回路が、第1のパルス周波数を受信するように構成される第1の入力と、第1のパルス幅を受信するように構成される第2の入力と、を含み、第1のパルス変調回路が、第1のパルス周波数及び第1のパルス幅に基づいてSPパルス信号を出力において発生させるようにさらに構成される、実施例3~5のうちの1つのプラズマ処理システム。
実施例7.タイミング回路が、時間遅延を受信するように構成されるタイミング回路入力を含み、タイミング回路が、時間遅延に基づいて第1のオフセット期間を設定するようにさらに構成される、実施例3~6のうちの1つのプラズマ処理システム。
実施例8.第1の関数発生器が、第1のパルス変調回路から受信したSPパルス信号を第1の周波数で発生された交流(AC)信号で変調することによって、SPパルスを発生させるように構成される、実施例3~7のうちの1つのプラズマ処理システム。
実施例9.タイミング回路に結合され、BPパルス信号を発生させるように構成される、第2のパルス変調回路と、第2のパルス変調回路に結合され、BPパルス信号を第2の周波数で発生されるAC信号で変調することによってBPパルスを発生するように構成される、第2の関数発生器であって、第2の周波数が、約15MHzよりも小さく、第1の周波数が、約10MHzより大きい、第2の関数発生器と、をさらに含む、実施例8のプラズマ処理システム。
実施例10.装置であって、真空チャンバと、ソース電力(SP)供給ノードに結合され、SPパルスの第1のシーケンスを用いて真空チャンバ内でプラズマを発生させるように構成される、結合電極と、バイアス電力(BP)供給ノードに結合され、真空チャンバ内に配置される基板ホルダであって、基板ホルダが、プラズマによって処理されるべき基板を支持するように構成され、BPパルスの第2のシーケンスが、プラズマのイオンを基板に向かって加速するように構成される、基板ホルダと、を含む、装置。
実施例11.第1のSPパルス及びBPパルスが、時間的に少なくとも部分的にオーバラップしない、実施例10の装置。
実施例12.結合電極が、共振結合電極である、実施例10及び11のうちの1つの装置。
実施例13.関数発生器と、共振結合電極に直接結合される出力、及び関数発生器に直接結合される入力を含む、方向性結合器と、をさらに含む、実施例12の装置。
実施例14.共振結合電極が、螺旋共振器アンテナである、実施例12及び13のうちの1つの装置。
実施例15.関数発生器と、関数発生器に結合されるインピーダンス整合ネットワークと、インピーダンス整合ネットワークに結合される入力を含む方向性結合器であって、結合電極が、非共振結合電極であり、方向性結合器が、非共振結合電極に結合される出力をさらに含む、方向性結合器と、をさらに含む、実施例10の装置。
実施例16.プラズマ処理の方法であって、第1のパルス変調回路を用いて第1の信号を第1の関数発生器に出力することと、第1の信号を出力することに応答して、第1の関数発生器を用いて第1のソース電力(SP)パルスを発生させることと、プラズマを発生させるために真空チャンバの第1の結合電極において第1のSPパルスを提供することと、第1のSPパルスに対して遅延をトリガすることによって、バイアス電力(BP)パルスを発生させることと、真空チャンバの第2の結合電極においてBPパルスを提供することと、真空チャンバに配置された基板上でプラズマ成膜又はエッチングプロセスを実行することであって、BPパルスを提供することによって、プラズマから基板に向かってイオンを加速する、実行することと、を含む、方法。
実施例17.遅延が、約-15μs~約20μsのオフセット期間を含み、BPパルスの前縁が、第1のSPパルスの後縁からオフセット期間だけ離れている、実施例16の方法。
実施例18.第1の関数発生器を用いて第2のSPパルスを発生させることであって、BPパルスの後縁が、第2のSPパルスの前縁から0秒より大きいオフセット期間だけ離れている、発生させることをさらに含む、実施例16及び17のうちの1つの方法。
実施例19.第1の関数発生器を用いて第2のSPパルスを発生させることであって、BPパルスの後縁が、0秒より大きいオフセット期間だけ第2のSPパルスの前縁とオーバラップする、発生させることをさらに含む、実施例16~18のうちの1つの方法。
実施例20.第1の関数発生器を用いて第2のSPパルスを発生させることであって、第1のSPパルスの前縁が、第2のSPパルスの前縁から約200μs~約1000μsであるパルス変調周期だけ離れている、発生させることをさらに含む、実施例16~19のうちの1つの方法。
本明細書で説明される電力制御技術は、コントローラによって制御され得る。コントローラが、本明細書で説明される機能性を提供するようにプログラムされた1つ又は複数のプログラム可能な集積回路を用いて実施され得ることにも留意されたい。例えば、1つ又は複数のプロセッサ(例えば、マイクロプロセッサ、マイクロコントローラ、中央処理装置など)、プログラマブルロジックデバイス(例えば、CPLD(コンプレックスプログラマブルロジックデバイス)、FPGA(フィールドプログラマブルゲートアレイ)など)、及び/又は他のプログラム可能な集積回路は、本明細書で説明される機能性のいずれかを実施するようにソフトウェア又は他のプログラミング命令でプログラムされ得る。ソフトウェア又は他のプログラミング命令が、1つ又は複数の非一時的コンピュータ可読媒体(例えば、メモリストレージデバイス、フラッシュメモリ、DRAMメモリ、再プログラム可能なストレージデバイス、ハードドライブ、フロッピーディスク、DVD、CD-ROMなど)に記憶され得ること、並びにソフトウェア又は他のプログラミング命令が、プログラム可能な集積回路によって実行されると、本明細書で説明されるプロセス、機能及び/又は能力をプログラム可能な集積回路に実行させることにさらに留意されたい。上記の他の変形も実施され得る。
1つ又は複数の成膜プロセスは、本明細書で説明されるプラズマプロセスを用いて材料層を形成するために使用され得る。プラズマ成膜プロセスに関し、限定しないが、炭化水素、過フッ化炭化水素、又は多様な圧力、電力、流れ、及び温度条件で1つ又は複数の希釈ガス(例えばアルゴン、窒素など)と組み合わせた炭化水素含有窒素を含む前駆体ガス混合物が使用され得る。同様に、1つ又は複数のエッチングプロセスは、本明細書で説明されるプラズマプロセスを用いて材料層をエッチングするために使用され得る。例えば、プラズマエッチングプロセスは、過フッ化炭化水素、酸素、窒素、水素、アルゴン、及び/又は他のガスを含むプラズマを使用して実施され得る。さらに、プロセスステップのための動作変数、例えばチャンバ温度、チャンバ圧力、ガス流量、プラズマ発生において電極アセンブリへ適用される周波数及び/又は電力、及び/又は処理ステップのための他の動作変数が、制御され得る。上記の変形も、本明細書で説明される技術を依然として活用しつつ実施され得る。
本発明は、例示的実施形態を参照して説明されているが、この説明は、限定的な意味に解釈されるように意図されない。例示的実施形態の様々な修正及び組み合わせ、並びに本発明の他の実施形態は、説明を参照すれば当業者に明らかとなるであろう。例えば、図3~図7の実施形態は、さらなる実施形態において組み合わされてもよい。したがって、添付の特許請求の範囲は、任意のそのような修正又は実施形態を包含することが意図される。

Claims (20)

  1. プラズマ処理システムであって、
    真空チャンバと、
    前記真空チャンバにおいてプラズマを発生させるための電力を提供するように構成されており、ソース電力パルス(SPパルス)を前記プラズマに結合するようにさらに構成されている第1の結合電極と、
    前記真空チャンバに配置されており、基板を支持するように構成されている基板ホルダと、
    バイアス電力パルス(BPパルス)を前記基板に結合するように構成されている第2の結合電極と、
    前記SPパルスの後縁と対応する前記BPパルスの先縁との間の第1のオフセット期間を制御するように構成されており、前記BPパルスの各々は、前記SPパルスの後縁と次のSPパルスの前縁との間に、サブパルス幅を有する複数のBPサブパルスと、BPサブパルス間隔とを含み、前記BPパルスの後縁と対応する前記SPパルスの先縁との間に第2オフセット期間がある、コントローラと、
    を備える、プラズマ処理システム。
  2. 前記第1の結合電極が、前記プラズマに容量結合され、前記プラズマ処理システムが、容量結合型プラズマ処理システムを含むか、又は
    前記第1の結合電極が、前記プラズマに誘導結合され、前記プラズマ処理システムが、誘導結合型プラズマ処理システムを含むか、又は
    前記第1の結合電極が、マイクロ波導波管及びスロットアンテナを通して前記プラズマに結合されており、前記プラズマ処理システムが、表面波プラズマ処理システムを含む、請求項1に記載のプラズマ処理システム。
  3. 前記プラズマ処理システムは、前記SPパルスを発生させるように構成されている第1の関数発生器をさらに備え、
    前記コントローラは、
    前記第1のオフセット期間を発生させるように構成されているタイミング回路と、
    前記第1の関数発生器の入力に結合される第1の出力、及び前記タイミング回路に結合される第2の出力を含む、第1のパルス変調回路と、を含む、請求項1に記載のプラズマ処理システム。
  4. 前記プラズマ処理システムは、
    前記タイミング回路に結合される第2のパルス変調回路と、
    前記第2のパルス変調回路に結合され、前記BPパルスを発生させるように構成される、第2の関数発生器と、
    をさらに備える、請求項3に記載のプラズマ処理システム。
  5. 前記タイミング回路が、前記BPパルスと前記SPパルスとの間の第2のオフセット期間を制御するようにさらに構成されている、請求項3に記載のプラズマ処理システム。
  6. 前記第1のパルス変調回路が、第1のパルス周波数を受信するように構成されている第1の入力と、第1のパルス幅を受信するように構成されている第2の入力と、を含み、前記第1のパルス変調回路が、前記第1のパルス周波数及び前記第1のパルス幅に基づいてSPパルス信号を出力において発生させるようにさらに構成されている、請求項3に記載のプラズマ処理システム。
  7. 前記タイミング回路が、時間遅延を受信するように構成されるタイミング回路入力を含み、前記タイミング回路が、前記時間遅延に基づいて前記第1のオフセット期間を設定するようにさらに構成されている、請求項3に記載のプラズマ処理システム。
  8. 前記第1の関数発生器が、前記第1のパルス変調回路から受信したSPパルス信号を第1の周波数で発生された交流(AC)信号で変調することによって、前記SPパルスを発生させるように構成されている、請求項3に記載のプラズマ処理システム。
  9. 前記タイミング回路に結合され、BPパルス信号を発生させるように構成されている、第2のパルス変調回路と、
    前記第2のパルス変調回路に結合され、前記BPパルス信号を第2の周波数で発生されたAC信号で変調することによって前記BPパルスを発生させるように構成されている、第2の関数発生器であって、前記第2の周波数が、約15MHzよりも小さく、前記第1の周波数が、約10MHzより大きい、前記第2の関数発生器と、
    をさらに備える、請求項8に記載のプラズマ処理システム。
  10. 装置であって、
    真空チャンバと、
    ソース電力(SP)供給ノードに結合され、SPパルスの第1のシーケンスを用いて前記真空チャンバ内でプラズマを発生させるように構成されている、結合電極と、
    バイアス電力(BP)供給ノードに結合され、前記真空チャンバ内に配置される基板ホルダであって、前記基板ホルダが、前記プラズマによって処理されるべき基板を支持するように構成されており、BPパルスの第2のシーケンスが、前記プラズマのイオンを前記基板に向かって加速するように構成されている、前記基板ホルダと、
    を備え、
    前記SPパルスの第1のシーケンスと前記BPパルスの第2のシーケンスとは、前記BPパルスが前記SPパルスに対して第1のオフセット期間だけ遅延し、前記第1のオフセット期間は、前記第1のシーケンスの前記SPパルスの後縁と対応する前記BPパルスの先縁との間にあり、前記BPパルスの各々は、前記SPパルスの後縁と次のSPパルスの前縁との間に、サブパルス幅を有する複数のBPサブパルスと、BPサブパルス間隔とを含み、前記BPパルスの後縁と対応する前記SPパルスの先縁との間に第2オフセット期間がある、ように構成されている、装置。
  11. 第1のSPパルス及び前記BPパルスが、時間的に少なくとも部分的にオーバラップしない、請求項10に記載の装置。
  12. 前記結合電極が、共振結合電極である、請求項10に記載の装置。
  13. 関数発生器と、
    前記共振結合電極に直接結合される出力及び前記関数発生器に直接結合される入力を含む、方向性結合器と、
    をさらに備える、請求項12に記載の装置。
  14. 前記共振結合電極が、螺旋共振器アンテナである、請求項12に記載の装置。
  15. 関数発生器と、
    前記関数発生器に結合されるインピーダンス整合ネットワークと、
    前記インピーダンス整合ネットワークに結合される入力を含む方向性結合器であって、前記結合電極が、非共振結合電極であり、前記方向性結合器が、前記非共振結合電極に結合される出力をさらに含む、前記方向性結合器と、
    をさらに備える、請求項10に記載の装置。
  16. プラズマ処理の方法であって、
    第1のパルス変調回路を用いて第1の信号を第1の関数発生器に出力することと、
    前記第1の信号を前記出力することに応答して、前記第1の関数発生器を用いて第1のソース電力パルス(第1のSPパルス)を発生させることと、
    プラズマを発生させるために真空チャンバの第1の結合電極において前記第1のSPパルスを提供することと、
    前記第1のSPパルスに対して第1のオフセット期間だけ遅延をトリガすることによって、バイアス電力パルス(BPパルス)を発生させることであって、前記第1のオフセット期間は、前記第1のSPパルスの後縁と対応する前記BPパルスの先縁との間にあり、前記BPパルスの各々は、前記第1のSPパルスの後縁と次のSPパルスの前縁との間に、サブパルス幅を有する複数のBPサブパルスと、BPサブパルス間隔とを含み、前記BPパルスの後縁と対応する前記SPパルスの先縁との間に第2オフセット期間がある、ことと、
    前記真空チャンバの第2の結合電極において前記BPパルスを提供することと、
    前記真空チャンバに配置された基板上でプラズマ成膜又はエッチングプロセスを実行することであって、前記BPパルスを提供することによって、前記プラズマから前記基板に向かってイオンを加速する、前記実行することと、
    を含む、方法。
  17. 前記遅延が、約15μs~約20μsのオフセット期間を含み、
    前記BPパルスの前縁が、前記第1のSPパルスの後縁から前記オフセット期間だけ離れている、請求項16に記載の方法。
  18. 前記第1の関数発生器を用いて第2のSPパルスを発生させることであって、前記BPパルスの後縁が、前記第2のSPパルスの前縁から0秒より大きいオフセット期間だけ離れている、前記発生させることをさらに含む、請求項16に記載の方法。
  19. 前記第1の関数発生器を用いて第2のSPパルスを発生させることであって、前記BPパルスの後縁が、0秒より大きいオフセット期間だけ前記第2のSPパルスの前縁とオーバラップする、前記発生させることをさらに含む、請求項16に記載の方法。
  20. 前記第1の関数発生器を用いて第2のSPパルスを発生させることであって、前記第1のSPパルスの前縁が、前記第2のSPパルスの前縁から約200μs~約1000μsであるパルス変調周期だけ離れている、前記発生させることをさらに含む、請求項16に記載の方法。
JP2021507606A 2018-08-14 2019-08-08 プラズマ処理のための制御のシステム及び方法 Active JP7397247B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862718454P 2018-08-14 2018-08-14
US62/718,454 2018-08-14
US201862724879P 2018-08-30 2018-08-30
US62/724,879 2018-08-30
US16/219,535 2018-12-13
US16/219,535 US20200058469A1 (en) 2018-08-14 2018-12-13 Systems and methods of control for plasma processing
PCT/US2019/045756 WO2020036806A1 (en) 2018-08-14 2019-08-08 Systems and methods of control for plasma processing

Publications (2)

Publication Number Publication Date
JP2021534545A JP2021534545A (ja) 2021-12-09
JP7397247B2 true JP7397247B2 (ja) 2023-12-13

Family

ID=69523348

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021507606A Active JP7397247B2 (ja) 2018-08-14 2019-08-08 プラズマ処理のための制御のシステム及び方法

Country Status (6)

Country Link
US (2) US20200058469A1 (ja)
JP (1) JP7397247B2 (ja)
KR (2) KR20210032420A (ja)
CN (1) CN112424904B (ja)
TW (1) TW202033057A (ja)
WO (2) WO2020036806A1 (ja)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524780B (zh) * 2019-02-02 2024-07-05 中微半导体设备(上海)股份有限公司 一种用于超深宽比刻蚀的等离子反应器及其刻蚀方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7386093B2 (ja) * 2020-02-19 2023-11-24 東京エレクトロン株式会社 プラズマ処理装置及び整合方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7433095B2 (ja) * 2020-03-18 2024-02-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
JP7450455B2 (ja) * 2020-05-13 2024-03-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147444A (zh) * 2020-05-14 2021-12-16 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置
TW202147445A (zh) * 2020-05-14 2021-12-16 日商東京威力科創股份有限公司 電漿處理裝置
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7504686B2 (ja) 2020-07-15 2024-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
JP7433165B2 (ja) 2020-08-11 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及び給電方法
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11545364B2 (en) 2020-08-24 2023-01-03 Tokyo Electron Limited Pulsed capacitively coupled plasma processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254521A1 (en) * 2021-02-08 2022-08-11 Qwyit,LLC Encryption protected plasma compression fusion device
US11915910B2 (en) * 2021-03-25 2024-02-27 Tokyo Electron Limited Fast neutral generation for plasma processing
JPWO2022210043A1 (ja) * 2021-03-30 2022-10-06
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220157256A (ko) * 2021-05-20 2022-11-29 인투코어테크놀로지 주식회사 반도체 공정에서 바이어스 전원을 제공하는 주파수 발생 장치
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399183A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11942307B2 (en) 2021-10-15 2024-03-26 Tokyo Electron Limited Plasma processing with radio frequency (RF) source and bias signal waveforms
KR20230055254A (ko) 2021-10-18 2023-04-25 삼성전자주식회사 플라즈마 식각 장치 및 이의 동작 방법
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230360889A1 (en) * 2022-05-03 2023-11-09 Tokyo Electron Limited Apparatus for Edge Control During Plasma Processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN117769757A (zh) * 2022-07-25 2024-03-26 株式会社日立高新技术 等离子体处理方法
WO2024075596A1 (ja) * 2022-10-07 2024-04-11 東京エレクトロン株式会社 プラズマ処理装置、電源システム、及び周波数制御方法
US20240230409A9 (en) * 2022-10-25 2024-07-11 Tokyo Electron Limited Time-Resolved OES Data Collection
WO2024106256A1 (ja) * 2022-11-18 2024-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20240088470A (ko) * 2022-12-13 2024-06-20 주식회사 다원시스 플라즈마를 이용한 반도체 제조 설비에서 다중레벨 고전압 비정현파 신호 발생 장치 및 방법
KR20240088461A (ko) * 2022-12-13 2024-06-20 주식회사 다원시스 플라즈마를 이용한 반도체 제조 설비에서 고전압 변조 비정현파 발생 장치 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100130018A1 (en) 2008-11-24 2010-05-27 Samsung Electronics Co., Ltd. Synchronous pulse plasma etching equipment and method of fabricating a semiconductor device
US20130105443A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
JP2016511551A (ja) 2013-03-13 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 銅のuv支援反応性イオンエッチング
JP2017069542A (ja) 2015-09-29 2017-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US20010051438A1 (en) * 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US20060121704A1 (en) 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
EP2323919B1 (en) * 2008-09-03 2013-11-06 Novartis AG Pouch
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9726621B1 (en) * 2012-09-20 2017-08-08 Helionx Llc Helical resonator ion accelerator and neutron beam device
US8736377B2 (en) 2012-10-30 2014-05-27 Mks Instruments, Inc. RF pulse edge shaping
CN103903949B (zh) * 2012-12-27 2016-06-01 中微半导体设备(上海)有限公司 一种用于等离子体处理腔室的射频能量控制方法
CN103915308B (zh) * 2012-12-31 2016-06-29 中微半导体设备(上海)有限公司 一种双射频脉冲等离子体的刻蚀方法及其刻蚀装置
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
CN104733278B (zh) * 2013-12-23 2017-03-15 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
JP6449674B2 (ja) * 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9788405B2 (en) * 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
US10622217B2 (en) * 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100130018A1 (en) 2008-11-24 2010-05-27 Samsung Electronics Co., Ltd. Synchronous pulse plasma etching equipment and method of fabricating a semiconductor device
US20130105443A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
JP2016511551A (ja) 2013-03-13 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 銅のuv支援反応性イオンエッチング
JP2017069542A (ja) 2015-09-29 2017-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
JP2021534545A (ja) 2021-12-09
WO2020036806A1 (en) 2020-02-20
US20200058470A1 (en) 2020-02-20
US10998169B2 (en) 2021-05-04
KR20210060455A (ko) 2021-05-26
TW202033057A (zh) 2020-09-01
WO2020037331A8 (en) 2020-04-23
KR20210032420A (ko) 2021-03-24
CN112424904A (zh) 2021-02-26
WO2020037331A1 (en) 2020-02-20
US20200058469A1 (en) 2020-02-20
CN112424904B (zh) 2024-03-29

Similar Documents

Publication Publication Date Title
JP7397247B2 (ja) プラズマ処理のための制御のシステム及び方法
JP6548748B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI822617B (zh) 射頻產生器及用於產生射頻訊號的方法
JP6002556B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR101286242B1 (ko) 반도체 소자 제조 방법
TWI604498B (zh) Plasma processing apparatus and plasma processing method
JP7369896B2 (ja) プラズマ処理のための制御のシステム及び方法
JP5822795B2 (ja) プラズマ処理装置
JP6491888B2 (ja) プラズマ処理方法およびプラズマ処理装置
US20170062190A1 (en) Plasma generation apparatus
EP1323180A2 (en) System, apparatus, and method for processing wafer using single frequency rf power in plasma processing chamber
JP6488150B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP7100717B2 (ja) 無線周波数電源のパルス変調のためのシステムおよび方法ならびにその反応室
CN108471666B (zh) 一种等离子体产生方法及装置和半导体处理设备
KR20240008318A (ko) 플라즈마 공정 성능을 제어하기 위한 실시간 펄스 측정 및 펄스 타이밍 조정을 위한 시스템 및 방법
JP2020017565A (ja) プラズマ処理装置
JP7201805B2 (ja) プラズマ処理装置
JP7075540B1 (ja) プラズマ処理装置及びプラズマ処理方法
TW202422696A (zh) 電漿處理裝置、控制方法、處理器及非暫時性電腦可讀記錄媒體

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220616

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230919

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20231017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231017

R150 Certificate of patent or registration of utility model

Ref document number: 7397247

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150