TWI267562B - Pulsed plasma processing method and apparatus - Google Patents

Pulsed plasma processing method and apparatus Download PDF

Info

Publication number
TWI267562B
TWI267562B TW089116559A TW89116559A TWI267562B TW I267562 B TWI267562 B TW I267562B TW 089116559 A TW089116559 A TW 089116559A TW 89116559 A TW89116559 A TW 89116559A TW I267562 B TWI267562 B TW I267562B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
chamber
power
introducing
Prior art date
Application number
TW089116559A
Other languages
English (en)
Inventor
Wayne L Johnson
Eric Strang
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TWI267562B publication Critical patent/TWI267562B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrically Driven Valve-Operating Means (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Description

1267562 Α7 Β7 五 發明説明(j 5 經濟部D :尹^H-r4」0DC工消費合作社印製 / •智慈財產局 領域 本發明係關於電漿輔助基體處理之一模式,其中一氣 怨種類在一電漿中被離子化、解離、或不然被修正,且使 經修正種類或其之部份撞擊基體。 置知技術之描诚 過去數十年來,在各種工業中已逐漸接受電漿輔助基 體處理,特別是在基本程序步驟包括反應離子蝕刻(RIE)、 電漿輔助化學氣相沉積(CVD)、濺鍍、反應濺鍍、及離子 輔助物理氣相沉積(PVD)的半導體工業中。在這些工業中 目前所用的程序-般以連續模式操作。亦即,隨 或暫態的改變或調變之唯—參數為在職勵信號週期先 天性改變的射頻(RF)能量。 事實上’在時間尺度上相對於程序時間為小而相較於 RF週期為大的程序參數之暫態調變受限於幾個選擇的研 究領域。氣態種類之暫態調變被使用在原子層取向接生 (ALE)和ALE之-推衍的脈動喷射取向接生(卿,且最近 RF電力之暫態調變已証明由於電子溫度控制意味著 度的改善。 已被研.究和使用的RF電力之暫態調變只涉及灯幅度 ,暫態調變。習訂在處料期函數(時間的)以下列不^ 續傅立葉空間來代表這些函數,即 * a /2=—— 2 其中An為傅立葉振幅且…為角頻率(七他)。一般 本紙張尺《财麵
4 1267562 A7
ο 11
5 IX 經濟部·TA標準普貝工消費合作社印贬 .•智慧財產局. 1' 的咖號採用其中傅立葉言皆波幅度An係獨立於時 間的上列形式。然而,脈動職用一般已包括Wt)。 •在例如海尼克等人的美國專利案第4,824,_號中揭 路在㈣、沉積和相_序之性能上與使灯電力脈動組合 地使氣體脈動的觀念。此專利案提出-電漿反應器,其: 許用與處理氣體交換率一致並與各氣體交替週期之開頭符 合同時地使RF電力脈動的一交替率以脈動形式把不同氣 體交替導入-處理腔室中。使RF電力在各氣體之導入脈波 t開始處以50至5〇〇msec(大約〇1至1%的工作週奶之脈波 寬度在關閉和大約6 0 k W間脈動。海尼克等人的美國專利案 第4,935,661號揭露可藉由在高塵下使允許氣體脈動來改 善氣體交換率。 雖然上述的海尼克等人之兩專利案都指向沉積程序, 匕們長:到所揭露技術對如餘刻的其他程序之廣用。 如在此稍早提示的,氣態種類之暫態調變展佈幾個領 域,包括原子層取向接生(ALE)、化學氣相沉積(CVD)、分 子束取向接生(MBE)、脈動喷射取向接生(pjE)、脈動分子 束、脈動氣體注射及脈動氣體閥。在專利的文字上,三種 類型之脈動氣體注射已辨識為:脈動喷射;運作/出氣;及 脈動“串列’’。脈動喷射注入,如名稱隱含的,係藉由控制 一氣體注入器以一系列不連續脈波之形式來注射氣體而實 施。在一運作/出氣組態中,一或更多氣體束流在(“運作,;) 腔室注射或(“出氣”)排氣間交替切換。排氣或出氣系統必 須模擬腔室情況(亦即壓力等等)。脈動“串列,,氣體注射使
本紙浪尺度適用中國國家標準(CNS )八4·規格(2丨0 X 297公釐) Λ7 B7 5 ο 1ΠΓ 經濟貝工消費合作社印製 :·智慧財產局 ο —2 J267562 五、發明説明(3 用藉由週期注人主載具氣體把不同氣體週期地導入其中的 -種連續流動載具氣體,因此產生一氣體“串列,,。為了把 相鄰種類間的氣體擴散最小化,氣體脈動以充分低的工作 週期彳本作以允许載具氣體作用為一擴散障壁。換言之,各 兩或更多不同處理氣體要交替注人時,兩或所有處理氣體 之流動在把任-氣體注人載具束流前被阻擋—短期間,因 此兩或更夕處理軋體彼此連續分離。在例如布雷克斯里的 美國專利案第3,721,583號;布切爾的美國專利案第3,979, 235號;山多拉等人的美國專利案第4,〇58,43〇號;及山多 拉等人的美國專利案第4,413,022號中描述這三種類型之 脈動氣體注射。 經常在已知ALE.程序期間氣體之交換在低壓注入下發 生並超過氣體父換期間充分地長於反應器氣體安定時間, 亦即,數分鐘至數十分鐘。 PJE係ALE之延伸並以高速率用超音喷射來操作。幾張 由Ozeki等人所撰論文係關於用具有低至1〇〇1115^之週期的 氣體交換率之高速噴射之使用。這些論文包括..Αρρ], phys. Lett·之第53冊第16頁(1988)的使用快速氣體束流的GaA^ 原子層取向接生的新方法;薄固態膜的第174冊(1989)之由 一新原子層取向接生技術的GaAs和AlAs薄膜之成長;及j. Crys· Growth的第107冊(1991)之III-V族化合物的脈動喷射 取向接生‘。再者’艾瑞斯等人的美國專利案第5,164,040& 揭露一種PJE技術,其使用由以從數T〇rr至200Psi之範圍的 遞送壓力提供處理氣體之一儲源供應的一陣列之脈動超音 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公瘦) 1267562 Λ 7 Β7 五 5 經濟、^貝工消費合作社印製 ,.智慧財產局 發明説明(4 ) 噴射。另外,多個喷射可用彼此相關的頻率和相位之任何 改變來脈動。 與ALE相似的,PJE技術促進選擇性取向接生並可產生 高均勻度沉積。 最後,脈動分子束之研究暗示用短暫態脈波寬度和高 重複率而產生脈動分子束的可能性。典型上,有文章報告 已使用有點類似汽車燃料注入閥的高速EM閥及壓電裝置 來產生脈動分子束。藉由舉例,此型之技術在下列論文中 有描述:J. Chem. Phys.的第67冊第11頁(1977)之簡崔和吉 斯的在HD+He撞擊上的已解決單一·量子之旋轉激勵… Chem· Phys·的第72冊第2頁(1979)之貝里等人的用來觀察 弱分子複合物之旋轉光譜的新方法…;Rev· Sei. Ιη^ίηιηι 的第52冊第1頁(1981)之貝西等人的脈動分子束源.、Rev. Sci. Instrum的第53冊第38頁(1982)之克羅斯等人的高重複 率脈動17貧嘴束源、及Rev. S ci · In strung的第56冊第11頁 (1985)之安德生等人的壓電脈動噴嘴束之特性。從飛行時 間(TOF)和UV雷射導出的螢光量測之結果指出可達成短至 50psec的脈波時間和高達1000Hz之重複率。 使RF電’力脈動於電漿已主要利用來加強選擇性及/或 均勻度,以及來影響充電損壞。先前技術之焦點係在開與 關間暫態調變RF電力,並藉由調諧脈波寬度和脈波重複率 (PRR)來達成改善的選擇性和均勻度。特別是,在技術中 已提出暫態調變RF電力以控制電t中的解離產物,並又控 制银刻或沉積化學之反應物。存在上,RFf力之脈動調變
(請先閲讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS ) A4規格(2 10 X 297公釐) 7
5 經濟部〒央標.池^^,貝工消費合阼社印纪 ,•智慈財產局. ' : 1267562 波週期之平均感測上減少電子溫度,並因此直 二:=量分佈之時間平均。電漿内的分子之解離和 離子化的程度、或速率係盥 , ,,r Λ /、电千之數目和碰撞之橫截面積 ’後㈣依_電子能量。接著,可藉由控制電裝 内的電^量分佈來控制供基體處理料化學反應物。 胡專人的美國專利幸繁3 6 茶弟3,677,799旎描述使用脈 電力來控制蝴塗佈沉積。哥献! 谓寸林4人的美國專利案第4,263, 〇 8 8號揭露放射頻譜之伸用 、 θ炙使用以決疋RF電力藉其從一連續模 式切換到-脈動模式的__程序之結束。幾個其他專利 案暗示在相較於明顯排空反應物的時間為小之一時間尺度 上使RF電力脈動。請看例如恩格爾等人的美國專利案第^ 40U07號和2楞伯格等人的美國專利案第4,·,563號。如 此脈動已被顯示來加強蝕刻/沉積之選擇性和均勻度。 更近來,使用RF脈動來控制蝕刻選擇性已被報導。 Mat· Res· Soc· Symp· Proc·的第 4〇6 冊第 15f(1996)2Sugei 等人的高密度I虫刻電漿之診斷和控制描述了纟電感性躺合 電漿反應器(ICP)上的高等診斷之性能。透過脈波寬度、振 幅和重複率之改變,已藉由控制〇?2對(:^3、CF*F的相對 密度而達成Si〇2對Si之選擇度上的改善。事實上,
Sa-mukawa 在 Jpn. J. Appl. Phys 之第 33(1)冊第 2133 頁(1994) 的在脈波時間調變ECR電漿上的高選擇性和高異向性si〇2 之蝕刻中·辨識CF2對F之比率和脈波期間的一直接關係。再 者’環境良性半導體製造信託的NSF/SRC工程研究中心, 一電信論壇(11/6/1997)的拉貝里等人在脈動peCVD氟化碳 本紙張尺度適用中國國家標準(CNS ) A4規格(210><297公釐)
1267562 Λ7 B7 五 5 ο 11 經濟工消費合作社印製 ' .·智慧財產局 發明説明(6 ) . 濤膜之特性上的前驅物之效應中報導了作用在脈波 PECVD氟化碳薄膜上的加強CF2比率。 除了在氧化餘刻程序中改善選擇度外,Samukawa等人 在 J· Vac· Sci. Technol. B 的第 12(6)冊第 3300 頁(1994)之針 對南選擇度的脈波時間調變電子加速器共振電漿蝕刻中討 論了在使用脈動RF電力的多晶矽蝕刻中之離子能量頻譜 的控制。Yeon等人在J· Vac. Sci· Technol. B的第15(1)冊第 6 6頁(19 9 7)之由射頻電力调變對微粒子形成和其控制之研 究中宣稱經由RF調變來減少微粒子形成。 再者,Ono等人在1998乾式程序論集(\^5)第141_146 頁之“由時間調變偏壓方法對多晶矽蝕刻之選擇度和輪廓 控制’’中報導在脈波調變夾盤偏壓之經改善多晶矽對§丨〇2 之蝕刻選擇度、經改善蝕刻異向性及經壓制微皺起現象。 最後,Ohtake等人在乾式程序論集(vj第97]〇2頁之 “由脈波時間調變電漿的形狀依存充電損壞之減少,,中、及 Matsui等人在1998乾式程序論集(IV-2# 85_9〇頁之“在微 視、、Ό構之電荷構成上的脈波調變電裝之效應,,中已研究關 於減fe形狀依存充電損壞的脈波調變電漿。 因此暫態調變(或脈動)遞送到一電漿的氣體種類或RF 電力之觀念係已知。事實上,如已描述的,這些觀念已被 廣泛研究。然而,根據電感性耦合電漿(icp)技術的已知晶 圓處理技·術欠缺反應化學和離子轟擊之獨立控制。例如,. 品要經由作用氣體之解離來產生最佳|虫刻反應物的電漿 條件與需要把最佳離子能量(及離子能量分佈)傳送到基體 (請先閲讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CMS ) A4規彳^717^7^7 9 1267562 Α7____ _ Β71、發明说明(7 ) 5 ο LnMr 經濟部貝工消費合作社 ,•智慧財產局· 的條件不相同。 再者,已知腔室組態不能夠做反應氣體之有率使用、 或有效率除掉揮發性蝕刻產物。 發明之概要 本1¾明之主要目的係根據電感性|馬合電槳(icp)技 術來消除在已知晶圓處理程序中多數先天性限制。 本發明之一更特定目的係在把不同程序階段最佳化的 方向上做到程序參數之獨立控制以改善蝕刻選擇度和側壁 外形。 本發明之進一步目的係利用脈動氣體和脈動111?調變 來改善氣體使用效率並因此減少泵速度之需求。 本發明之另一特定目的係利用脈動氣體及/或脈動rf 調變來致能一系列(時間上)之明顯不同程序步驟,並針對 其中該系列之程序步驟組合為在一基體上的一更大的程序 處理之一給定程序步驟來影響明顯不同的情況。 根據本發明,上述和其他目的由用來在一反應器腔室 中的一基體上實施電漿辅助處理之方法達成,該方法執行 下列步驟:把至少-作用氣體導入反應器腔室中;藉由在 。亥腔至内建立RF電磁場且允許此場與作用氣體互相作用 以在該反應器腔室内產生電漿;及使該電磁場具有在各足 以維持電漿的至少兩$同數值間週期改變<一能量位準, 使得各能i位準數值產生在基體上的一各自不同之處理程 序、或與該程序之性能相關聯。 本纸張尺度適财a ~— (請先閲讀背面之注意事項再 4丨丨 ί:填寫本頁) •ίιτ_丨---------- 10 1267562 Λ7 B7 五 5 ο 1 經濟部口芡#淮一辱貝工消费合作证印製 ' .智慧財產局. br 發明説明(8 第1A和1B圖係說明在根據本發日月構成一例之程序的 基體蝕刻程序中之兩階段的圖示正視圖; 第2A和2B圖係祝明在具有一反轉錐形側壁的一電聚 處理腔室中之兩不同流動條件下的氣體流動圖型之結構 圖; 第3和4圖係說明在-電漿處理腔室内的不同操作參數 上之改變的圖; 第5圖係根據本發明的一氣體注入系統之第一實施例 的橫截面圖; 第6A、6B及7圖係說明在根據本發明的一電漿處理系 統中之各種流動參數的圖; 第8和9圖係根據本發明的氣體注入系統之進一步實施 例的橫截面圖; 第10和11圖係說明在根據本發明的一電漿處理系統中 之各種流動參數的圖; 第12圖係根據本發明的氣體注入系統之一進一步實施 例的橫截面圖; 第13圖係根據本發明的一氣體傳送系統之結構圖; 第14A和14B圖係說明用來實施本發明的—電漿反應 器之兩形式之組構的簡化橫截面圖; 第15A圖係形成第14A圖中顯示的反應器之一成份的 一注入/排氣平板之一實施例的平視圖; 第15B圖係形成第14B圖中顯示的反應器之一成份的 一注入/排氣平板之一實施例的平視圖; 本紙汝尺度適用中國國家標準(〇^)八4規格(210>< 297公釐 A7 B7 1267562 1、發明説明(9 ) 第16A、16B和16C圖係說明用來,施本發明的一電聚 反應器之三個進一步形式之組構的簡化橫截面圖; 第17A、17B和17C圖係分別為可根據本發明來操作的 5 經濟^負工消費合作社印製 ' •智慧財產局 一反應器裝置之實用實施例的侧面正視圖、平視圖和端面 正視圖; 第1 8圖係可根據本發明來操作的一反應器裝置之進一 步實用實施例的側面正視圖圖,部份在橫截面上; 第19A、19B和19C圖係可各被使用為第18圖之反應器 裝置、以及在第14A和14B之反應器裝置的一組件之注入/ 排氣平板的三個實施例之平視圖;. 第20A、20B、20C及20D圖係說明在根據本發明的程 序中之各種參數的調.變之波形圖;及 第21圖係說明在根據本發明操作的一電漿反應器之晶 圓夾盤上的一自給偏壓之產生的信號圖。 月之詳細始诚 根據本發明之一明顯層面,傳送到一處理腔室、用來 維持電漿的RF電力在兩數值間被暫態調變。各個這些數值 足以維持電漿,且各電力數值產生基體上的一各自不同之 處理程序、或與該程序之性能相關聯。 在此考慮的系統型式中,基體安裝在一夾盤、或基體 口持器上,RF偏壓電力施於其中來產生作用把離子吸引到 基體表面‘的一 DC自給偏壓。此後,施於一電感線圈(較佳 的方式)或與基體相反的電極(如在一電容放電中的)主要 用來維持或產生電漿2RF電力將參照為RF來源電力。施於 12 1267562 A7 B7 5 經濟貝工消費合作社印製 ' .知&財產局 五、發明説明( 夾盤、或基體固持器主要用來把來自電漿的離子吸引到基 體表面之RF電力將參照為RF偏壓電力。簡單參照為^^電 力適用於可施加兩型式之RF電力之任一個的場合。 當在一程序期間氣體種類不改變時或當在一個別電力 數值正傳送中不同氣體種類被順序導入以各呈現在電漿中 時RF來源電力及/*RF偏壓電力之調變可產生改善結果。 因此’可以有可能期望脈波調變RF來源電力和RF偏壓電 力、同時維持相同氣體種類或混合氣體種類之連續流動的 程序。在其他程序中,可能期望使流入腔室的氣體和^^來 源電力脈動,同時維持RF偏壓電力恆定。 在本發明之較佳實用實施例中,導入腔室的作用氣體 以與RF來源電力之暫態調變協調的序列在兩種類間改 變。其目的係交替執行兩程序,各使用一不同氣體種類。 對於本發明引用的許多、如非大部份之程序型式,將也有 利的、且在一些情形中需要的來調變施於支持被處理的基 體之夾盤的RF偏壓電力,以改善電漿處理性能。此調變將 也與RF來源電力之暫態調變協調。同時,可能期望在rF 來源電力之脈波調變和RF偏壓電力、及這些調變之一或兩 個和作用氣體導入在其中改變的圖型間導入相位差異。因 此’調變波形和作用氣體導入圖型無需彼此同相位,雖然 對於許多程序同相位關係可能較佳。 藉由·在電漿反應器中“迅速,,交換兩氣體種類、且同時 調變維持電漿的電感性耦合射頻(ICRF)、並把5^7偏壓電力 送到夾盤,兩程序可被做得以一相當高速率來交替。因此, 本紙張尺度適用中國國家標準(CNS ) μ規^1Γ^97公巧 !ιίι4ί (¾先閱讀背面之注意事項再填寫本頁) .I s ----I、 、\二口 13 !267562 、發明説明 11 0 經濟貝工消費合作社印製 ' .智慧財產局. 20
此方法係以一連續柄甘n ^ ή 、.'週J來貫靶,且在各連續週期之一個別 +週期間來實施各程序。 本發明將以一例干f/Λ -V / + 們不方法的文脈來描述,雖然請瞭解到 义月决不限於㈣定方法。例示方法使絲 矽,Si〇2。 又岸氣月且種類可為例如細口 ra氟化碳CF4,雖然也可 用士 CFC1和CHF的其他化合物。在餘刻程序中Ar和Cf4 各才刀决不同角色。再者,分別與CF4和Ar之導八一致地, 電力將在名義上<2kw的一低數值和名義上5請的一高 數值間來脈動。且最後,傳送到失盤驟電力之幅度被調 义來〜各DC自給偏壓電壓,並因此影響離子能量。可想像 =同氣體和各種調變間的切換可用多種圖型、或波形來 ^ ’並可採用振幅之形式且甚至氣體和RF分量之相位調 變〇 · 乳體分量之相位調變涉及在各氣體種類和其個別RF 電力相對者(們)間建立一固定相位移變。在呈現的場合 中’ RF電力脈波及/或氣體種類脈波係長方形;然而,不 疋須如此。如在兩正弦信號在相位上以不同頻率Q + △ ω ί ω - △ 6J (由2 △ ω分開而△ ω << ω )線性疊加的,波形 可為週期斜波或正弦函數。在此情形中,可獲得在頻率八 ω (亦即一波紋組包)拍擊(或振幅調變的)的頻率〇之一 弦信號。· 在此方法的各第一半週期間,係一惰性氣體、也指〜 為Freon-4的CF4被導入到電漿反應器中。然而,在惰性cF 正 定 (請先閲讀背面之注意事項再填寫本頁 、1Τ W ϋλ— ϋϋ mV 1 -Ί 2 ^ 本紙張尺度適用中國國家標準(CNS ) Α4^ΜΤί7χ*297/># 14 1267562 A7 B7 五、發明説明( 12 5 ο 11 經濟部tp央標準養貝工消費合作社印製 ..智慧財產局 分子可解離成諸如CF3、CF2、CF、F等的各種原子團之電 漿呈現中這種形式將瞬逝。不像CF4的,這些原子團在離 子轟擊加入並產生都具有超過腔室内壓的氣體壓力之 SiF4、C〇2、C0等揮發性反應產物時可能與氧化物(Si〇j 反應。然而’光阻(PR)和Si〇2餘刻產物間的互相作用可導 致在开> 成反應裔之組件部份的排氣管線之壁上產生複合Μ 有機構物。因此’棑氣管線應加熱以減少此效應。 當CF#導入電漿時,一反應蝕刻化學程序啟動,藉此 電漿致動反應原子團種類,在此“低”RF電力半週期間產生 的離子較不幫助基體塗佈程序和反應蝕刻化學程序。 第1A圖呈現涉及把eh作用氣體1〇1導入一氟化碳電 漿中的第一半週之圖示表現。如顯示的,氟化碳電漿1〇2, 係來解離CF#並把eh之產生最大化,其被認為可改.善以之 選擇度。這導致用吸收到暴露81〇2和?11光罩1〇3上的一均 勻CF2層來塗佈整個基體和其關聯的PR光罩。基體被安裝 在由加於夾盤和電漿的RF信號把自給偏壓電位感應到其 中的一爽盤(未顯示)。此壓之調變產生DC自給偏壓之 對應調變,其有利於基體之一最佳塗佈。 據相信.,CF2塗佈在接著暴露於一高指向性、能量化 離子轟擊時提供兩個作用⑴它保護戰罩來㈣刻選擇 又提(、予PR及(u)匕蝕刻Sl〇2。後者由於氟能量而把以〇2 之㈣選擇度提供㈣。再者,由㈣程序形成的凹溝或 微皺紋之側壁由於離子轟擊之指向性而少量或完全不蝕 刻° 本紙浪尺度適用中國國家標 (請先閲讀背面之注意事項再填寫本頁)
15 1267562 Λ7 Β7 13 五、發明説明( 在各第-半週之結束,CF4和其解離產物從反應器腔 室排空。 在各第二半週中’在第1B圖中描寫的,Ar作用氣體 104’被導入到處理腔室中來實施一不同程序。傳送到來源 的㈣力增大以在來源中產生_高度離子化域體電浆 105和一高離子流。在施於夾盤的111?偏壓電力上的一對應 增大致能高能量Ar離子之傳送到基體表面,亦即使這些離 子強力撞擊表面並把能量提供予與Si〇2反應的表面。再 者’離子轟擊可能在次-確定反應週期損壞表面晶格結 構、清除汗物之經钮刻表面、並幫助—高異向性钱刻之產 生。 經濟部中棣準今貝工消費合阼.i±.TJri^ .•智慧財產局. ' ;丨 與ALE(每氣體週期建立一原子層)之觀念相似的,每 週期可除掉-或更多單層。因此,應以高速率來交替半週 期以達成一滿意的蝕刻率。例如,如果假設在一統計平均 上,單一單層在氧化蝕刻方法之各週期間被除掉,則可總 結說以/zm/min為單位的蝕刻率係與各程序半週之期間r 之倒數成線彳生比例。已觀察到一 si〇2晶格單層具有約弘W 埃(A)之厚度。這將暗示可用卜1〇1職之一適當脈波期間 來達成1至3 // m/min的蝕刻率,假設在一統計平均上每程 序週期蝕刻一單層。如果脈波週期可減至τ ,則可 月&達成10至20//m/min的#刻率。 概要上:本發明根據幾個程序組件之暫態調變來呈現 供基體處理用的方法。再者,透過氣體種類、程序型式、 RF來源電力和RF偏壓電力之暫態調變,包括化學反應 本紙張尺度 16 1267562 ο 15 經濟、貝工消贽合作社印製 •智慧財產局· 20 五、發明説明(14 晶圓處的反應物濃度、離子密度、離子能 頻譜分佈的-或幾個參數可調整來控制 蝕刻選擇度。 特別是 _ 種類之快速交換可提供數個目的。在上 > 施例中,Ar和CFd λ々祕 > 佳員 乳體在蝕刻程序中各扮演不同角 土。細皮用於離子爲擊而CF4被用來實施一反應 Z。、當然,在可順序導入(亦即,個別氣體、預混合氣體等 對使用反應器設置的限制以外的不同氣體之數目上並 ’、、限制$樣地’各氣體種類依賴其他程序參數之選擇可 才刀决不同的矛王序角色,且不同氣體可導入以在基體上產生 不同沉積層。 在上述飯刻程序中的氣體之交換、或調變係直接與灯 來源電力調變|馬合。例如,來源在W注入期間將以“低” 電力來驅動’因為期望使電漿作用為一解離機構。然而, 最期望-“高”電力來針對基體之離子轟擊產生高度離子化 電水浯項低”和“高,’當然係相對的,而其自己並不辨識 數量值。.然而,它們對於熟知該技術者的確具有意義,他 們將容易瞭解數量值之範圍將由一特定程序之文脈中的各 浯項所包圍。如在此稍早注意的,對於傳送到電漿來源的 脈動RF波形之形式並無限制。本發明之觀念允許與氣體 換波形相對的複合振幅調變和相位調變。 與氣體交換和RF來源電力調變耦合的是傳送到夾_ 的RF偏壓電力之調變。同樣地,發明觀念包含與其他程序 參數相對的傳送到夾盤之RF偏壓電力的複合振幅調變 交 盤 和 ^^間讀背面之注意事項再填寫衣㊄、 -H I ·
•I 本紙张尺度適用中國國家標 17 !267562 A 7 87 五 發明説明 15 經濟貝工消費合作社印製 ' .智慧財產局. ο 2 相移。再者,在基體處理期間可能有傳送到夾盤的RF信號 之堦波内容之控制。各個上述參數之細節將討論於下。 下列描述係指向在用來實施本發明的反應器之組構中 〜及的考慮。這些反應器被指定為脈動模式钱刻(PME)反 應杰。特別是,將討論至少在目前技術狀態中諸如氣體可 被交換之速率、自給偏壓的電漿密度和離子能量可用傳送 到電漿源或夾盤的最大RF電力來調變之速率等等的如此 反應器之基本限制。 …在此稍早,語詞“快速,,被用來分辨氣體種類交換之速 率,而1msec等級之半週脈波寬度被提及。這代表用來達 成適度至高的餘刻率的-期望等級之量度。根據本發明與 脈動模式處理相關的所有參數中,不同氣體種類可在反應 器内被交換的速率係設置在可達成_率上的基本限制。 使蝕刻率最大化的一方法係把反應器腔室容積最小 化,而把反應器腔室氣體流動傳導度最大化。此主題將更 詳細討論於下。然而,設置在這些參數上有最小限制來使 基體處珲敏感,亦即來滿足電漿化學、蝕刻化學等等之需 要。再者,對氣體可被抽出腔室的速率有一最大值限制。 例如,目刖可達到的最高抽吸容量為5〇〇〇公升/秒之等級, 由某些渴旋分子泵所產生。-替換方法將是提供幾個較低 容量泵,如那些能夠抽吸330公升/秒者。然而,只有少數 這些泵可配置來在反應器腔室提供有用的抽吸。 下面討論氣體抽吸之流體機構條件,並針對一半習用 腔至辨識可達成的氣體交換率,該腔室只與電漿源和呈現 ~ ί ι_ ----ί i Θ先閱讀背面之注意事項再填寫衣寶) -ί — - - !- - - - Μ • I H · 本紙张尺度適用中國國家標準(CMS ) A( 210 X 297^^7 18 1267562 A 7 -------- ------B7 五、發明説明(Μ ) " ~ -- 的基本組件,亦即夾盤、注射平板、果等等,的大約尺寸 對應而為習用。 首先考慮將在低壓遭遇的中性流動條件。在此,低壓 將假定為範圍從⑴麵虹⑽的腔室壓力。然而,pme: 5應器之操作不限於此壓力範圍。在這些低腔室壓力上,氣 體之行為開始採取-自由分子流動之特性,而不再只作用 為遵照關於動量傳輸的納維爾_斯托克方程式之一連續流 體。為了決定一氣體作用為一自由分子流動或一連續流體 之限度’必須估計克努德生數目,Kn,其只是在流動領域 1〇巾平均自由路徑對—梯度長度尺度之比率。梯度長度尺度 可為一特性自由剪力層厚度或一特性邊界層厚度。然而^ 這一般用從流動領域採用的一特性長度尺度,通常係個別 幾何形狀,來代替。因此,Κη之定義變為Kn=A/L.而又為 平均自由路徑且L為流動領域之特性長度。 15 自由分子流動之開始的解說依賴於選擇來與平均自由 路徑比較的長度尺度。通常,大於一的克努德生數目值被 使用為一評準來辨識自由分子流動之開始。例如,在1〇 mTorr的一腔室壓力上,平均自由路徑大約為。當與 腔至之特性尺度相較時,克努德生數目遠小於一;然而, 20 當與一通孔直徑相較時,它大於一。因此,針對分子流動 的條件係依賴於解說的“尺度”。 因為至第一專級的平均自由路徑改變為壓力的倒數, 因此Kn與壓力,且當然特性長度尺度,來倒反改變。首先, 一總體Κη可根據界定“總體,,或大尺度氣體動力的反應器 本紙於尺/夂適用屮國园家標準(CNS ) /\/丨規格(Ύι 0 x 297公为,) · ~ ' -19 - Ϊ267562 Μ B7 五 、發明説明 17 5
ο 1X 經濟貝工消费合阼社, .智慧財產局. 之有效直徑來推衍。對於L〜2〇cm,越過}至1000mT〇rr 的上述腔至壓力範圍在0.00025和0.25.間改變。然而,當考 慮在一較小尺度上的氣體動力,亦即氣體注入通孔之下游 (可與通孔直徑相較的一尺度上)或接近基體時,必須重估 Kn並用適當長度尺度(流動之巨視特性越過其上而改變的 梯度尺度)來局部定義它。例如,在1〇mT〇rr之壓力處, 總體Kn將大約為0.025、在通孔出口平面處的Kn針對5〇〇 SCCni之質量流動可大約為〇·1等級、而短暫於通孔(〜1cm) 之下游的局部定義之Κη可為5等級。一般上,大於一的一 Kn值指出一自由分子流動領域,而小於〇 〇ι的值指出一 連鉍流動領域。許多電漿輔助程序在過渡領域 〇·〇1<Κη<1(至少在一總體尺度上)中產生,其中中性流動動 力針對多數低壓力程序可變得非常複雜。 在未分別進入分子氣體動力學和連續流體動力學之波 茲曼方程式解(使用DSMC,直接模擬蒙地卡羅)和納維爾_ 斯托克解,可從下列限制情況之簡單第一等級分析來獲得 有用的透視,:Kn +(無限大)且Kn + 〇(或實際上,Kn>>1a Κη<<1)。當κη<〇.〇ι時,氣體動作為連續流體且其行動受 納維爾-斯托克方程式規範;然而,納維爾_斯托克方程式 對低壓領域的應用性一般可接受高達尺11〜〇1。(參看Bid, G·Α·的1994年牛津克拉雷動論刊之分子氣體動力學及f體 ϋ鱼之直農MD。當Kn進一步減少到低於0.01之數值時··, 流動在黏性層外變得等熵線並可用歐勒方程式(排除黏性 應力的納維爾·斯托克方程式之縮減形式)來處理。 本纸乂適用Η國國票| ( CNS ) 規j各(2Κ)Χ 297公發) 20 1267562 A7 B7 五、發明説明(18 ) 別不,瓜切明假設為靜止的,·亦即,越過其中腔室 ,力傾向於-悝定值的期間(或換言之’連續氣體脈波係使 传腔室壓力保持不變),則流人系統的質量必須平㈣系統 :氣的流量率。亦即’如果定義包圍反應器容積的一控制 ^積,則流入腔室白勺質量P*U*A>須平衡離開腔室之質 量PcS;其中P*係、在進人腔室的氣體密度,u*為在腔室入 口的氣體速度,A*t為總通孔面積(A*t=NA*;N=通孔數目, 而A* =通孔面積),^。為腔室氣體密度,且s為在辨氣平面 處的腔室泵速度。 10 15 20 在處理腔室之排氣平面處的泵速度s假設為大約s = (CSinIet)/(c + SinIet),其中C係泵入口和腔室排氣平面間的流 動傳導度(依賴於腔室形狀和這兩點間的壓力),且Sinh為 在泵入口處的抽吸速度,亦即在泵入口處的容積流量率, 其依賴於入口壓力。c、S和sin〗et都以每單位時間之氣體容 積為單位。例如在第14B圖中,腔室排氣平面係注射平板 18’之平面,且泵入口平面由線21表示。一典型效率曲線(亦 即E-S/Sinlet)顯示在第3圖中。在連續限制上,平均麼力場 透過腔室“推擠,,連續脈波。 第4圖顯示進入腔室的質量(在即一半週期的時間r之 脈波長度)對以給定腔室壓力存在腔室内的質量之比率。當 比率變成一或更小的數值時之情況界定在連續限制上的臨 界軋體交換率。在第4圖中有兩族曲線;以實線顯示者顯示 針對在有尺度35cm基底直徑、62cm頂部直徑及i7cm腔室 高度Η的一大半圓錐腔室中之氣體交換的比率值;而以破 本紙張尺度適用中國國家榡準(CMS ) 丨規格(210X 297公釐) 21 經
If 局Ϊ 工 消 费 合作· 社 印 製 !267562 五、發明説明( 10 15 20 Λ7 B7 19 折線顯示的第二者顯示針對在有兩因數小於那些與第一組 曲線相關聯者之尺度的-小半圓錐腔室中之氣體交換的比 率值。第4圖依賴於人口質量流動來顯示以多達鄕而改變 的最小氣體脈波寬度Γ。此質量流動改變只歸因於以較高 壓力改善的抽吸效率。在較小腔室中,用來處理—傷英时 基體,最小脈波時間可短至Μ職〇職氣體注射來改善 腔室中的氣體交換率將討論於下。 立在限制Κη>>1中,將期待分子透過腔室到達其中壁碰 揎才曰不其傳播方向的排氣平面,亦即,相對於腔室形狀它 們的平均自路徑是大的。將期待一氣體分子在它無碰撞下 ^=月工至傳到基體、與基體表面彈性碰撞並反彈到它離開 月工至的排氣平面時具有最短的傳播時間。㈣圖中顯示此 情況。以此假設,可想像到—氣體分子之最小安頓.時間大 係腔室高度且a為室溫的聲音速度。這將針對 上述較小腔室提供〇.6職之_估計最小安頓時間為 了決定安_間’ „追縱幾個粒子之路㈣針對安頓時 門决疋機率㈣函數(PDF),亦即使用方法,其中“幾 #子„主明足以獲件事件之統計平均數之數目。平均安頓 時間可為連續時間尺度等級或甚至更大。 然而,上述討論尚不包括電漿之複雜特徵。在電浆之 在中性机動上的幾個第一等級效應可被觀察。電 ^一重要特徵可為中性離子抽吸,其中離子傳輸可直接 A曰妾衫響腔室内的中性傳輸。首先,由例如經由RF電力 把用的可離子化氣體之激勵產生的離子在施用與從電裝連 22 1267562 !\Ί 8: 五、發明説明( 20 ο 15 經濟工消f合作社印製 ,•智慧財產局· 20 績改變到邊界表面的電漿電位梯度直接成比例之靜電力下 流動到腔壁(其中主電位梯度橫過被覆產生)。在與腔壁碰 撞時’離子與電子再組合以在腔壁形成-中性種類。因此, 中性粒子被有效離子化並經由靜電力傳輸到腔壁,它們在 其上重組。其次,當離子傳輸到腔壁時,它們可把向外流 =的動量交換給存在的中性粒子,因此把它們向外指,或 貫際上抽吸中性粒子。然而’抽吸效應之重要性直接依靠 腔室壓力且更重要依靠平均自由路徑。此效應被大幅簡化 亚在導入離子化、解離、重組、氣體加熱、腔壁吸收、腔 壁聚合化等效應的電漿之複雜内只包括一個效應。 耦合於中性流動的電漿之複雜特徵將確定影響安頓時 間並可能把它從理想情況增大。然而,上述交換率根據簡 單物理可為合理估計,至少在提供可達到的最小可能安頓 時間的感覺上。概論之,習用腔室可能達到r〜1〇麗。的 氣體交換率。用修整來把程序容貌之一邊界表面處的抽吸 速度·最大化之真空設計和為高速指向氣體注射而實施的方 法,可鸫達求lmsec(並可能次亳秒)之性能。 如在此稍早暗示的,如果氣體注射系統要來產生長度 大約imsec的氣體脈波,亦即在其間各氣體種類被導入的 期間r具有此期間,則氣體注射系統之週期操作的頻率卜 1/2 r將為500Hz。在氣體注射系統上的這要求很實際,但 在針對電漿實驗的超音脈動氣體注射令已達成的結果之啟 發下是可行的。 具有此能力的一脈動^體注射系統之設計需要考慮進 請 先 閲 讀 背 面 之 注 意 事 項 再 填 本 頁 訂 本紙张尺度適用中國國家標準(CNS ) A4規格(210X297公# 23 1267562 Λ7 B7 五 '發明^兑明 21 10 15 經濟、貝工消费合作社印製 ' •智慧財產局. 20 入低壓力環境的連續和脈動氣體系統之氣體動力學、氣體 脈波致動之方法、及氣閥設計之原理。下列討論主要關於 針對氣體注射糸統的一較佳形式之構造,但也涵蓋替換解 答。 將適合先瞭解一連續流動注射系統之氣體動力學,且 然後考慮此瞭解對一脈動氣體注射系統之不穩定動力學的 應用。首先’氣體要注入其中的低壓力環境大約但不限於 展佈l<Pc<1000mT〇rr,且來源總壓力pt展佈範圍〇1<Pt<1〇〇 Ton·。總壓力係在處在注射平板後的充滿氣體空間内之氣 體的遲滯壓力,亦即當氣體速度等熵地減至零時的氣體壓 力。語詞“總壓力”通常參照為靜力壓力和動態壓力之總 和~越這些壓力範圍,氣體動力學可由於克努德生數目 之依賴於局部壓力而明顯改變,且再者,如稍早描述的, 導致從-連續流動改變到—自由分子流動,其係相當大的 Kn之結果。 在上述的壓力(pt>〜10_100T〇rr)之上端點處,流過一 注射通孔(良徑0.5mm)的氣體遭遇以連續方式動作的流動 之充分石亚撞數’並頗可使用納維爾斯托克方程式來表示。 再者’克努德生數目充分小,或雷諾數目充分大,使連 通孔•動之區域可視為等_並結果遵照納維爾·斯托克 程式之縮減形式,即歐勒方程式。 當這些情況存在時且當橫過通孔之壓力比率,亦即.,. 入口總壓力對超越通孔之出口的環境壓力之比率充分大 時’通孔達到一“扼流,,情況。在扼流情況中,用在此情形 續 方 本紙張尺度適用中國國家標準(CNS ) 24
經濟^貝工消费合作社印製 ..智慧財產局. 1267562 Λ7 B7 中為腔室壓力的背壓力(在技術中熟知的語詞)之進一步減 少、或增加入口總壓力,容積流量率仍不變。事實上,只 能藉由增加入口總壓力、因此影響氣體密度,來進一步增 加流過通孔之質量。這當然假設改變喉口、或通孔面積是 不可行的。 當通孔變得扼流時,馬赫數目(聲音之局部速率對局部 速度的比率)在通孔喉口處變成一之數值;對於恆定面積通 孔’由於摩擦效應使喉口在通孔出口平面處產生。一旦流 動在喉口處為音速,當它經歷面積上的增加時(不像在一面 積擴大期間減速的次音速流動)它加速到超音速(M>1)。 第5圖呈現包括設置在一發散噴嘴5〇3内的一怪定面積 通孔之一注射通孔的結構圖。此結構圖指出針對包括來源 總壓力Pt、通孔喉口 501之壓力p*、通孔出口 5〇2之壓力ρ。 及腔室壓力Pe的改變壓力場定義之位置。對於一恆定面積 通孔(亦即不含發散截面),喉口壓力等於出口壓力。 對超音速通孔或喷嘴重要的一額外參數為喉口縱橫 比。此辦橫中使最小橫截面積之截面長度相關於喉口之直 徑(最小橫截面積)。一般上,期望具有比一小且較佳不大 於一的一縱橫比。第5、8、9和12圖顯示如此一設計方法。 請注意到只要在致動器和擴張通孔間延伸的截面係比喉口 直徑大的一直徑,則氣體脈波致動器可設置成從擴張通孔/ 喷嘴偏離。然而,此截面變得越大,則氣體注射延遲時間 越大。 延著一怪定面積注入通孔的馬赫數目和靜態壓力變化 本紙張尺度適用中國國家標準(CNS ) Α彳規格(Τΐ〇χΐ9Ί^]
25 1267562 Λ 7
疋例于分別顯示在第6A和6B 長度之最後20%而加速到一超音情況(M=1),以在此擴張期 間在靜態壓力上的一對應落速。在與一恆定面積通孔相關 聯的情況下,氣體在真空腔室中的通孔之數個(5_1〇)通孔 直徑内受到一陡然轉移從一連續流動到一自由分子流動。 一般上,來源總壓力對腔室壓力之比率超過臨界壓力 比率達丨至2等級之量度。結果,喉口壓力、或對於一恆定 面積通孔的出口壓力,明顯大於周遭腔室壓力。這普通參 知、為一不充分擴張情況。為了達成壓力匹配情況,其中出 口屋力等於周遭腔室壓力,—適當設計的面積擴大;、或發 散喷嘴被利用。此情況可展現均勾之特性,指引氣體喷射 進入低壓力環境。然而,當利用一發散噴嘴時,由於氣體 膨脹使又遭遇-上升Kn。因此可能觀察轉移流動效應。 透過上㈣力範圍(G.5<Pt<1()w)之中間,在透過通 孔的氣體流動上黏性扮演—成長角色,且最後在可處理為 等赖線的流動場内不存在區t在較健力處,在通孔内 發生的碰撞將變得更不常見達到氣體流動可展現自由分子 流動之行為的程度。然後,在連續感覺上的巨視特性不再 適合來描述流動之行為。 1之冑於氣體注入的情況在較高壓力時可最佳瞭 解,其中做出連續行為、及理想上钱的連續行為之假設: ^別是,·當達成較高質量流量率時,可獲得較高來源總Μ ’亦即500至__或更大的質量流量率 件下操作的一先天優點係可被組合來合併基體上的撞;之 26 經濟部rb央貝工消費合作社印製 ' ·智慧財產局· 1267562 A 7 B7 — · - —________ 五、發明説明(24 ) ~~— 高指向性氣體喷射的產生。再者,它們可被設計在出口平 面處來轉移到一自由分子流動。此特性的一氣體注入設計 可致使較大的傳輸率並可減少腔室氣體交換率。 上面討論已說明高壓力氣體注入的一些優點。事實 上,在與低壓力處理協調使用的高壓力氣體注入將一般需 要高質量流動或通過率。因此,期望最佳化且最後增加在 一程序反應器之排氣平面、或晶圓平面處的抽吸速度。這 可用-大型渴方疋分子系和在泵入口和程序容積間的良好真 空設計來做到。當改良的抽吸方法被發展時將可能進一步 10 改善。 迄今,只有一連續通孔流動,亦即穩定流動,已被考 慮。然而,在此已介紹脈動氣體注入之觀念。在較高壓力 處,當通孔流動作用為係等熵的一連續流動時,最小脈波 時間應超過需要來加速氣體從一遲滯情況到一音速情況的 時間、需要來建立一充分數目之分子之區域以經受膨服的 時間及氣體脈波密度不受在脈波之開始和結束處的速率分 散之影響的-充分長時間之總和(參看J· Chem· Phys•第75 冊第5號(1981)之Saenger,K.L·的“脈動分子束:針對全然發 展的超音速擴張的脈波期間上之一較低限制”)。例如,在
Pt〜200T〇rr處,最小脈波時間係等級1〇#sec。此充分小於 1 至 10msec 〇 目月’J有成個方法可使用來致動供此注入系統用的氣體 脈波,請記住期望的脈波寬度和脈波頻率。一些例子係使 用·電磁閥,其中如在Rev· Sci. Inst_•第49冊第5號第⑽8 本紙浪尺度適用中國國家標準(CNS)八4規^·^^^
(請先閲讀背面之注意事項再填寫本FC
27 1267562 Λ7 B7 H、發明説明( 25 5
經濟部.f喊^.Ϊ貝工消費合作社印II 頁(1978)之尖崔和基斯的十微秒脈動·分子束源和一快速離 子化檢測器中描述的一電容器被快速放電;自動燃料注入閥,其如在J. Vac· Sci. Technol· A第5冊第1號(1987)之肯道 爾的針對殘餘氣體分析器之線上校準的脈動氣體注入, Rev. Sci· Instrum·第51冊第8號(1980)之歐地斯和詹森的用 在超音速喷嘴實驗之簡單脈動閥,及貝蘭等人之Chem. Phys· Lett.第60號第364頁(1979)中描述的已針對相似目的 而修正,以及壓電闊,如在Rev· Sci· Instrum•第Μ冊第^號 第38頁(1982)之克羅斯及凡蘭梯尼的高重複率脈動喷嘴束 源,和Rev. Sci· Instrum.第55冊第6號(1984)之巴鐵士及布 雷友的用於電漿物理實驗之快速氣體注入系統。 電磁閥可達成以脈波重複率(PRR)高達丨-WHz的短至 1〇至100/z sec的脈波期間。然而,這些閥一般產生相當的 RF雜訊,需要切換高電流位準的複雜高電壓電源供應,並 具有短壽命。 市場上可獲得的自動燃料注入器閥使用上較簡單,但 較慢,以PRR高達50Hz。再者,修正的汽車燃料注入器間(用 於脈動分子束)可達到超過數百kHz之pRR。在此稍早提到 的電磁閥可針對有差的工作週期的脈波(或pRR)之較短時 間期間。因為根據本發明在程序中期望大约5州工作週 期’故脈波見度、或期間是要尋求者。因此,根據市場上 可獲得的‘自動燃料注人㈣技術的注人器閥500為較佳,因 為它們可把微粒之產生最小化、達成有5〇%工作週期的高 PRR及需要低電壓電源供應。 IP I (請先閱讀背面之注意事項再填寫本頁) '^ Ϊ— n u U -- _. _ ----—, _ί I. ------ 本纸就度適财酬家 28 1267562 經濟部rb*標準辱貝工消費合阼社印製 ' •智慧財產局. : A7 B7 五、發明説明(26 ) . 典型上’技術狀態的壓電閥可操作在有大於200Hz的 重複率和小於3msec的脈波寬度之一脈動方式中。可獲得 氣體脈波上升和下降時間分別為〇1和〇2511^^。巴鐵士及 布田友的以户…使用含一氣體管的標準Veec〇 pv_1〇壓電閥 來產生超音速喷射。事實m羅斯及凡蘭梯尼報導的 閥特疋在含短至1〇〇 # sec的脈波寬度之乃犯z的 PRR 〇 第8圖說明含用作脈波監測的一壓電閥8〇ι、一氣體入 吕線802、一〉主入噴嘴及一麥克風、或一壓力轉換器 之一例不注入系統8〇4。一處理腔室將配備多個此種系統, 且各糸統如顯示地將饋有一高壓氣體供應管線。在各氣體 供應器和其關聯的注人系統(們)間,將設有用來調整來源 壓力或質量流動的一高響應壓力調節器、及一質量流動感 測器(未顯示)。這些組件係在處理腔室外面。 、在各'主入系統中,氣體管線饋送由一氣體遮件8〇5包圍 的小閥充氣空間,該充氣空間在閥致動時係間歇暴露於小 ^ 气麥克風、壓力調節器及質量流動感測器都被 曰:自〇7皿視,该電腦又經由此回授來控制脈波速率和質 里机動。閥機構可為如燃料注入器閥的-電磁閥、或上述 閥類型的盆仙本 . /、考。在擴張到低壓腔室前氣體脈波在其中傳 播的札體吕線應具有短的長度使安頓時間最小化,並應具 有小的直·徑爽石έ —咕丨 ' 、 木確疋薄板流動。對於在此管線中的一氣體脈 2 =型女頓4間對於一2cm管線為0.08msec ’其係由把 /口著吕線長度的局部氣體速率之倒數積分而決定。更一般 本紙續卿目輸 丨.111_------— ί (請先閱讀背面之注意事項再填寫本頁) 、1:"~| - .―I I ------------ m-·— 1^1 eini 0 29 1267562 A7 B7
-XL 發明説明( 27 5
ο IX 經濟部D央#準卷貝工消費合阼社印製 ' .智慧財產局. ' 土,此官線可具有含0.5cm等級之對應直徑的〇.5至2〇111之長 度、及含0.2至1111111縱橫比的05至1111111之通孔直徑。 典型上,一電漿處理腔室將設有與必須配置來允許要 又#導入的兩或更多氣體之適合分佈的數個此種閥總成相 關聯之一注入平板。針對使用兩獨立閥的兩氣體之交替, 各閥將彼此180度異相地打開和關閉。 另外,如在其中針對各氣體A*B提供一分開的闊和閥 充氣空間的第9圖中顯示的,兩氣閥可耦合於一單一氣體注 入埠口。第9圖進一步顯示供應至閥用來控制兩氣體a*b 之流動的打開和關閉控制信號之波形。 對氣體注入的一第二替換例係從其周邊取用注入平 板。在此情形中,氣體脈波閥將設於平板周圍的各種周邊 位置,且氣體將經由機械通道分佈到平板㈣。這方法只 能在對取用注人平板之頂部有些阻礙時才可應用。此配置 之問題係從氣體脈波啟動到注射出口的時間變長,且據此 從注射&線之人口到出口的氣體安_間變得和脈波時間 相同等級、或更大。為了控制進入低壓腔室的脈波之時序, 兩氣體之脈波在時間上被交替導人到相同注射管線以產生 一“串列,,之氣體脈波。最差的,兩不同氣體制之脈波將 連續有脈波啟動和進人腔室間的_些時間落後一優點在 於此種氣體串列可模仿一連續氣體流動,特別是氣體常 數、特定‘加熱之比率等等的氣體特性相似的話。 .. 即使用此方法,注射管線之長度對各氣體脈波之連 貝疋重要的。換言之,傳播距離越長,則相鄰脈波上氣體 本紙浪尺度適用中國國家標~ !| ^ · 巍---Ιιί !^—------0— — — ^___1 (請先閲讀背面之注意事項再填寫本頁〕 30 1267562 A7 B7 五、發明説明( 28 5 經濟、貝工消費合作社印製 ' .智慧財產局· ,類間的擴散越大。如果兩氣體脈波财導人到注射管線 2得兩氣體脈波彼此初始地接近,則包含在兩脈波中的 氣月豆將逐漸擴散到彼此中。 τ在敢體流動之方向上越過其中 來自兩脈波之氣體已擴散到祜 ^ j彼此中的距離已知為氣體脈波 "散長度。除了擴散外,各氣體脈波之空間長度由於在注 射管線内經歷的加速流動而積極增加直職注射管線出現 為止。如稍早已界定的 & j压射/瓜動不旋轉且因此傳輸只依 賴分子擴散。然而,分+辨$ 么 刀于擴政度之係數可為明顯,特別是 靠近腔室之入口處’因為它反比於壓力。如第6B圖中描寫 的,此壓力隨著氣體膨脹而掉落。 第10圖中顯示沿著注射管線氣體脈波擴散長度5相對 於距離X的成長之例子。早在5上相似於發展邊界層地增 加。然而,它在分子擴散度之係數明顯增加時朝向端點大 幅改變。對於較大質量流動或較短安頓時間,相對擴散長 度(5/1而1係各氣體脈波之目前空間長度,可維持在如5%的 一可接受限制下,如第11圖中所見。 概論之,在適當情況下它可實施來使用一氣體“串列” 方法,與ALE論文中所做者一致地,來產生有大約數個msee 脈波寬度的連續氣體脈波。 與第二替換例相似的一第三替換例係使用一 Ar氣體 束流作為用於例如CF4的第二作用氣體之一載具氣體。亦 即’ Ar氣體束流以CF4氣體脈波間歇注入氣體束流中而 連續流動到腔室。在此情形中,Ar氣總是呈現在腔室内, 且CF4在間隔時間期間在腔室中與Ar混合。 ------ n m I— , (請先閱讀背面之注意事項再填寫本頁)
、1T L# 本紙張尺度適用中國國家標準(CNS ) Α4規格(2!0X297公釐) 31 1267562 五 、發明説明(29 Λ7 B7 々12圖中顯不針對氣體注入的第P替換例。它可視為 一運作/出^f 4、 乳式注射系統,其中氣體A和氣體B連續流到個 &線中’且一滑式閥121在兩端點位置間振盪來適當 5 經濟貝工消費合作社^ /·智慧財產局. i— 丨製 重新扎向兩氣體束流。如第12圖中顯示的,當滑式閥處 在最左端位置時,氣體A排出而氣體B注入腔室中。相反 勺田'月式閥處在最右端位置時,氣體B排出而氣體A注入 腔室中。 第13圖係說明根據本發明之一實施例用來控制氣體脈 波之傳送到一處理腔室的系統之結構圖。兩氣體,A和B, 在同壓下被儲存在一氣體儲源131之個別部段中,而氣體從 各儲源部段供應到多個壓力調節器132。供應到各調節器的 氣體透過典型包含一質量流動感測器的一個別質量流動控 制益133而傳導到一個別氣體注射系統之一關聯入口。在此 貝施例中,各氣體注射系統可具有第9圖中顯示的形式,補 充有如第8圖中說明的一壓力轉換器。一電腦控制系統134 被連接來接收來自所有質量流動感測器的質量流動信號和 來自所有氣體注射系統的壓力信號。此控制系統進一步連 接來控制各個壓力調節器之壓力設定及控制在各氣體注射 系統中的閥之開關。此控制系統可依據技術中已熟知的原 理來組構和私式化,以維持調節器之出口處的期望氣體壓 力並用正確頻率和相位關係來操作氣體注射系統閥。因為 此控制系統接收來自氣體注射系統中的壓力轉換器之壓力. 資訊,故可達成氣體之質量流量率和氣體脈波率之回授控 制。 本紙張尺度適用中國國家標準(CNS ) A4規彳^77「0>< 297公瘦) 32 1267562 Μ Β7 五、發明説明(3〇 5 經濟、^貝工消費合作社印製 ..智慧財產局· i— 如第9圖中指出的’氣體流動可根據方波函數來調變。 然而,如在此稍早提到的,氣體流動可根據代表週期斜波 或正弦波函數时形來調變。—受控制斜波函數可藉由改 變近似斜波函數的-系列增量步級上的流量率而產^达 可由以與斜波函數之本質相_樣式來步進—質量流動控 制器(第13圖至中顯示的),或步進可為針對超音速注射的 一可調整喉口面積之氣體注射通孔的開或關來產生。在前 者中,各步級之時間期間可超過1〇〇msec。在後者中,各 步級可具有小於IGGmsee的期間。用來產生—斜波函數的 一額外裝置可為使用一緩慢響應E M或壓電閥,其中針對一 給定脈波之上升時間可為等級。此方法具有不 好控制之缺點,但十分簡單來實施^可對一正弦響應採取 一相似方法(亦即一遲緩“方,,波)。 已提出用於氣體脈波致動的幾個方法,但涉及使用諸 如那些第5、8和9圖中顯示者的多個氣體注射系統的第一 解、及可能如第12圖中顯示者的第四解,目前是較佳的, 口為據相k這些可更谷易來組構以提供脈波波形、脈波寬 度、脈波頻率及質量流動的所需強健控制。 根據本發明之氣體注射系統可安裝在習用電漿處理裝 置中使用的氣體注射平板類型上。此種平板包含用來冷卻 出現在一 RF電漿中之平板的冷卻通道。再者,雖然在任何 圖式中皆未顯示,注射系統喷嘴可在注射平板中形成並可 以已知方式來主動冷卻。然而,在將由各喷嘴中的氣體膨 脹產生的冷卻上並不需要喷嘴之主動冷卻。 本紙张尺度適用中國國家標準(CNS ) A4^m7i 〇x 297^^ ) -i - I 1 - 1 · HI- ·ίι 1 !i I---- ! _ r j (誚先貼讀背面之注意事項再填寫本.f) IT™· I-----Aw_ 33 1267562 Λ7 一_____ __B7五、發明説明) 5 經濟工消費合作社印11 ,•智慧財產局· 根據本發明用來執行-钱刻方法的反應器在此指定為 脈動模式蝕刻(PME)反應器。現在將描述此種反應器之實 施例,強調那些改善反應腔室傳導性的特性。第! 4 a和i 4 b 圖中描寫其之兩個此種實_。這兩實_?、在處理氣體 注射和排氣上彼此不同。這些實施例可合併在由美國詹森 於1999年4月12日申请之名為阻抗縮減的腔室的審查中國 際申請案第PCT/US99/G7962號中揭露之特徵,其之揭露在 此被合併參考。 各實施例包含包圍具有配備含有支持一基體的可垂直 移動夾盤6之一負載鎖件4的一錐形下端之反轉半錐形處理 月工至之八又爿旦2。基體典型為上表面要蝕刻的一晶圓8之形 式。夾盤6被安裝可垂直運動,以允許晶圓8之交換,並密 封電漿區之底部。殼體2被具有錐形的多圈螺旋線圈14包圍 亚連接,以接收將在由殼體2包圍的錐形處理區中產生rf 場之經調變RF電流。殼體2依據技術中已知的原理來組 構,以靜電地遮蔽處理區。殼體2更包含在處理區之頂部的 一處理氣體注射總成18、18,及設在處理氣體注射總成18 上方的一排氣泵總成2〇。泵總成2〇未詳細顯示,而可由一 適合的渦旋分子泵以已知方式構成。各圖式說明一第一半 週期之開始處的時刻,當CF4氣體正抽吸入處理區中而Ar 氣正抽出。 在第44A圖中顯示的實施例中,處理氣體注射總成i!g 包含中央設置的注射平板。用過的氣體和蝕刻產物透過注 射平板和殼2壁間的環形區域向上排出到泵總成20。 讀先閲讀背面之注意事項再填寫本頁 • i — III I m I *1TI n If n I is.. 本紙張尺度適用中國國家標2 34 1267562 A7 B7 10 經濟部yA工消費合作社印製 ' -智慧財產局 10 五、發明説明(32 在第14B圖中顯示的實施例中,攀成18,之注射平板整 個延伸過處理區,並具有都橫過處理區之上邊界均勻分佈 的注射埠口和排氣導管。 在各個這些實施例中,要處理的基體放置在反轉半錐 形腔室之基底處。注射平板放置在腔室之頂部。 第15A和15B圖分別顯示注射平板、或歧管“和18,之 例示實施例。第15A圖中,有在喉口直徑各約lmm的二十 的小注射通孔151,其係如第5圖中指出的發散喷嘴之入口 端。平板18上可設置的通孔數目不限於二十。平板a更設 有包圍内部陣列之噴嘴的四個大抽吸象限。第ΐ5β圖中^ 示的第三注射/排氣平板均勻地分佈橫越電裝源之頂部的 注射槔口和排氣導管152,意圖把注射和排出氣體間的互相 作用最小化,並把排氣導管面積最大化。這些實施例可針 對12吋晶圓處理或任何形狀相似變化來定尺寸。 第16A、16B和16C圖中顯示根據本發明用來執行—蝕 刻方法的反應器之三個進—步實施例。所有三個組態中, 氣體注射相對基體和夾盤、或基體固持器實施,且真空果 161透過包圍夾盤的環形區來取用處理容積。所有三個;施 例中,線圈14包圍殼體2之頂部。因此,處理區、或電裝源 係設在殼體2之頂部。此三個組態間的唯一差別係線圈"、 且因此處理區之形狀。容積形狀包括如第—圖中•的 圓柱形、如第湖圖中顯示的截錐形、及如第⑽圖中㈣ 的半球形。 在這些實施例之處理腔室内 至円壁之傾斜有幾個功用。 ^紙浪尺度適用中國國家橾隼(CNS ) A4規0 x1^7^- 35 1267562 Λ7 〇 D / 5 經濟貝工消費合作社印製 ' •智慧財產局. 五、發明説明(33 在高克努德生數目限制處,期望具有相對於内部表面區的 儘可能大之出π面積,以改善腔室之傳導性。⑲室傳導性 越兩,則使原子和分子排出的機會越大。再者,當氣體從 頂部注人腔室並從其中抽_,如第2B圖中顯示的,有較 大可能性將發生單反彈原子/分子傳播。相對的,操作在自 由分子體制中的習用反應器需要至少兩次壁碰撞使原子/ 分子釋出腔室。在低克努德生數目限制處,與連續流動相 關聯的,側壁之傾斜可促使f2A圖中構圖表示的流動,而 在腔室底部和側壁間形成的角落内無流動分離。再者,傾 斜側壁把名義上圓形圓柱狀腔室設計之容積最小化。 除了調諧氣體注射和增加腔室傳導性外,增加腔室抽 吸容量可額外改善氣體交換時間。一 5000升/秒泵相鄰於腔 至之π又置或各個1500升/秒泵之設置於腔室上方可推進栗 技術之包封。最後’如在由美國丹斗等人於1999年6月29 曰申5月的名為電裝真空抽吸胞元之審查中國際申請宰第 PCT/US99/12827號中揭露的,其揭露在此被合併參考,可 期待增加的抽吸性能。 概論之,本發明使經調諧氣體注射技術與一高傳導性 腔至中的技術現狀抽吸能力|馬合,來把反應器内氣體可交 換的速率最大化。 係一側面正視圖的第17A圖、係一上視圖的第17B圖、 及係一端、面正視圖的第17C圖中說明根據本發明的PME反 應器之一實用實施例。如從第17A和17C圖係明顯的,此實 施例之組件可由安裝在滾輪上以允許反應器在一製造工廠 本紙張尺度適用中國國家標準(CNS ) /U規格(210>< 297公釐) 請 λΙ- * 閲 讀 背. 意. 事 項
36 1267562 Λ7 B7 5
ο 1X 經濟部口-^1^4」貝工消費合阼社印製 ..智慈財產局. 五、發明説明(34 内被帶到任何期望位置的一支持框架來承載。反應器之所 有個別組件本質上係已知、可根據技術中已熟知的原理來 組構、或在此揭露。 所說明PME反應器基本上包含一電漿源3〇、一負載鎖 疋腔室32、一晶圓操控系統34、如參考第2八和2]3圖描述於 上地女裝來垂直運動且RF電力要施於其中來產生一自妗 偏壓的一可垂直移動夾盤36、可包括一自由運作振盪器 (FRO)及也可能一阻抗匹配網路並對電漿源線圈產生尺1^電 流的一來源電源供應器38、在電漿源3〇上方的一中繼真空 腔室40、一渦旋分子泵42、在源3〇和泵42上方的一平板料、 -線㈣動閥46及在平板44上方的―排出氣體流動區 閥46可移動越過-之位置,在其中閥仏阻擋系^上方 之平板中的開孔之-全然關閉位置與其中閥46處在從平板 44中的該開孔係一最大距離之位置中的一全然打開位置 間。因此,閥46可操作來控制通過由平板料中的開孔形成 的一排出氣體流動路徑和排出氣體流動區48之氣體流動速 率。反應器更包括適合的處理氣體和冷卻劑供應搞合器(未 顯示)。 可從可為-FRO的-分離裝置49、或透過可為利用來 把來自-習用固態RF產生器的電力傳送最大化之一匹配 網路,把一 RF電流施於夾盤36。 來源·30依據技術中的標準實施包括連接來接收由刚 38產生的(或由一習用固態RF產生器透過匹配網路μ等效 產生的、或由個別RF信號激勵器和RF電力放大器透過匹配 本紙張尺度適用中國國家; 37 1267562 kl B7 五、發明説明( 35 5 ο 11 5 11 ο ____ 經濟、^-^^^貝工消費合作社印11 ' ·智慧財產局· 網路38最後產生的)RF電流之一螺旋線圈5〇、一靜電遮件 52及可具有第15A和15β圖中顯示的形式之一的一氣體注 射總成(未顯示)。 、 泵42可為相鄰於電漿源3〇安裝且經由中繼真空腔室4〇 和排出氣體流動區48連接於電漿源3〇的一可市場獲得之5〇 〇〇升/秒渦旋分子泵。選擇此安裝配置係因為目前可獲得的 5000升/秒渦旋分子泵無法反過來導向。 與來源3 0相關聯的氣體注射總成包括注射通孔和排氣 區兩者,後者經由中繼真空腔室4〇與泵42連通。因此,氣 體注射總成和棑氣通孔兩者把由電漿源3〇包圍的處理區與 中繼真空腔室40分開。 第二實施例可在中繼真空腔室上方使用數個較小渦旋 分子泵。 第二貝^例可具有在此被合併參考的1998年12月30日 申請名為電漿泵且代理人檔案第71469/238073號的審查中 臨時美國專利申請案第60/114,453號中、及在先前引述的 國際申請案第PCT/US99/12827號中揭露的形式。此實施例 提供改善的抽吸效率和空間利用。 第18圖·係與第17A圖相似的視圖,說明根據本發明的 一 PME反應器之進一步實用實施例。第18圖中顯示的反應 器之許多組件係與那些第17A、17B和17C圖中顯示者相 同。這些組件由如第17A、17B和17C圖中使用的相同參令 標號來識別而將不再描述。 如第17圖之實施例的情形中的,第18圖中顯示的實施
Inf. 0.JH -I I_ ^^先閲讀背面之注意事項再填寫衣¥「) ! I n n n . 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29?公釐) !267562 A7 B7 五、發明説明(36 例之組件係由安裝在滾輪上以允許反應器在一製造工廠内 被帶到任何期望位置的一支持框架來承載。 電漿源30之頂部由配備氣體管線入口 62的氣體注射/ 排氣平板60來界定。第π圖之實施例將也配備一氣體注射/ 排氣平板和氣體管線入口,雖然第丨7圖中這些不能特別辨 識。
ο IX
5 IX 經濟男工消費合作社印製 ·' •鉍§讨菱苟 第18圖中顯示的實施例與第17圖者不同,基本在於' 位於電漿源30上方並與其垂直對齊的一渦旋分子泵64之設 置。渦旋分子泵64從平板60以一閘門入口閥66和一過渡導 管68分開。 在第18圖中顯示的反應器之較佳實施例中,渦旋分子 泵係一單3000升/秒泵,取代第17圖之5〇〇〇升/秒泵。 也在第18圖中顯示的,但在第丨7圖中則否,是與夾盤 36關聯的一風箱7〇和提升插銷72。如技術中習用的,風箱 7 〇允許夾盤3 6垂直移動而確定由電漿源3 〇包圍的處理區保 持密封。技術中也習用的提升插銷72可操作來從靜電夾盤 36之表面提升一晶圓以經由晶圓操控總成%從處理區移 除。 第19A ·、19B和19C圖係說明可在第18圖之反應器中、 以及苐17圖之反應器中來利用的注射/排氣平板之三個可 能實施例的平視圖。 第19A圖中,平板8〇設有包圍含有將與泵64連通的一 陣列真^返回琿π 84之-中央區的數個同心、列之氣體注射 埠口 82。一邊界86把由埠口82佔用的區域與由璋口科佔用 (請先閲讀背面之注意事項再填寫本頁吣
本紙張尺度適用中國國家標準(C_NS ) A4規^77^ 297公釐 39 1267562 Μ Β7 五、 發明説明(37 5 ο __2_____ 經濟部口夹標準辱貝工消費合阼社 ' •智慧財產局. ' ί; 的區域分開。 第19B圖說明具有由一圓形陣列《真空返回璋口 包 圍的一陣列氣體注射埠口 92之一平板9〇。一邊界%把由注 射埠口 92佔用的區域與由返回埠口料佔用的區域分開。在 此實施例中,與泵64連通的返回埠口各具有相當大的直徑。 第19C圖說明其中氣體注射埠口 1〇2基本上與真空返 回埠口 104交錯散佈的一平板1〇〇之實施例。氣體注射埠口 1〇2被配置來形成多個圓形同心環,如真空返回埠口 的,以氣體注射埠口 102之環與真空返回埠口 1〇4之環交 替。這些埠口之其他圖型當然是可思考的。 如在此稍早描述的,傳送到電漿源的RF電力之幅度將 被調變來產生為特定程序所要的電漿條件。例如,根據本 發明,RF來源電力可與腔室中的例wet*"氣之交替同 步地在一“低”電力位準和一“高,,電力位準間來調變。然 而,在電力位準調變和氣體交換間可能有相較於疋强度為 小的移相。 在此時間尺度上的RF電力之暫態調變主要係受匹配 電漿源之時間變化負載阻抗、且因此維持100%電力傳送的 能力所限制。使用機械裝置來調整電容器的習用rf匹配網 路只能達到2-5秒之受控制脈波寬度,假設1〇〇步級來界定 有1 /〇正確度以内的RF電力脈波(最快的匹配網路之響應時 間達到25.0至500msec)。如在此與氣體交換率連結而先前討 論的,RF來源電力之調變意圖用可與氣體安頓時間(或氣 體交換時間)比較的脈波寬度來操作。這需要在^…咖⑶ 本紙ί艮尺度適用中國國家標準(CNS )八4.規格ΤΤΓ()Χ 297公釐) I · !------;------! (請先閱讀背面之注意事項再填寫本頁 * n n n n n . i·. - I I · 40 I267562 A7 B7 五、 發明説明(38 5 經濟貝工消費合作社印II .‘智態財產局· 之蚪間尺度上來脈動RF來源電力之能力,其肯定超過目前 匹配網路之能力。 根據本發明,期望的電力調變率可藉由使用一自由運 作振盪器(FRO)來達到,如在1999年7月13曰申請名為“用 末產生電感性輕合電漿的射頻電源”且代理人檀案第2 5 1 1〇5號的審查中臨時美國專利申請案第6〇/143,548號中揭 露的,其揭露在此被合併參考。此RF電源供應器合併響應 於負載共振頻率之變化來自動調整输ARF頻率的一自由 運作振盪器。FRO之使用消除匹配網路和固態尺?電源供應 益之需要,並用電漿源當地的rF部段和遠距設置的Dc電 源供應器來取代它們。當電漿情況在別汉17源中因1^電 力、壓力、溫度、質量流動及/或氣體種類上的變化而改變 時,RF頻率(或振盪頻率)響應於電漿源之自然頻率上的變 化而據此調整。對提出的解答存有幾個優點,包括可靠度、 重複性、速度、脈波能力及頻率監視之使用作為電漿情況 之診斷。 FRO對電漿源變化之響應受限於供氣體/電漿用來穩 疋反應器内由輸入變化所致的時間改變。在運作情況期間 這可為數十微秒並在啟動情況期間高達lmsectjFR〇在運作 和啟動情況期間不需要機械調諧的事實隱含在輸入111?電 源供應器上沒有額外落後。因此,FR〇證明在零和一有限 電力位準·間、及在分別有3〇和5〇# sec之上升和下降時間的 不同位準之電力間來脈動RF電力之能力。不像有匹配網路 的固態電源供應器,能夠產生有2至5秒之期間、或寬度之 本紙&尺度適用中酬家襟準(CNS ) A4規i71T〇x797公f (¾先』讀^C面之注意事項再填寫本頁)
-41 1267562 __ B7及、發明説明(39 ) ~ 5
ο II 經濟部口夬標準辱貝工消f合作社印製 ' .智慧財產局. 、良波’ FRO可產生有3至5msec之暫態释波寬度的rf電力脈 波。另外,FRO在不同位準之電力間作脈動的能力允許使 用依賴時間的複雜多位準、週期性或非週期性的^^電力之 改變。 FRO系統包括一高電壓DC電源供應器及具有包含用 來透過電子管控制電子流動的-可變電位栅格之電子管的 振盪β。所有這些組件都經由一螺旋線圈電感性耦合於 一電睛源腔室。電路中的電子雜訊產生初始擾亂且一較佳 波長被放大。產生的振盪之持續依賴於回授電壓之增益是 否大於一。當電漿中的情況改變時,橫越電子管的電位因 回技也改變,且結果電子管自動調整振盪頻率。 存在有在最後提到的審查中臨時美國專利申請案中描 述的兩替換例。達成脈動操作之一法係脈動電子栅袼電 位。用此方法,已分別達成30和5〇|usec之脈波上升和落下 時間。第二方法則脈動B+電源供應。雖然此脈動處於較高 電力,它允許脈波上升和落下時間較短而重複率較高。 因此,如將描述於下的,FR〇係目前用來把脈動汉?電 力傳送到電漿源、以及到夾盤的較佳系統。它以超過5〇〇Hz 來致能高速率之脈動處理並提供用來控制RF電力位準或 電漿後、度的一強健系統。電漿密度控制需要電漿密度之量 測和量出的電漿密度值之回授。 呈現·為更昂貴的另一方法需要與用於頻率之回授控制 的一相位/量度檢測器結合使用頻率靈敏RF電力產生器。 以此方式,RF電力可被調變,同時0RF頻率之改變而維持 本紙張尺度適用中國國家標準(CNS )八4規格(210 \ 297公#
42 1267562 五 、發明説明(4〇 A7 B7 5 經濟貝工消費合作社印製 ' .智慧財產局 取大電力傳輸。此型的一產生器在1997年11月18日頒給Ha 美國專利第5,688,357號中揭露。然而’對於根據本 I月之系統,它可被修正來包括插入來取代現存放大器的 一脈波調變尺?放大器。 的 又另一方法將是使用有電力脈動能力的一習用固態 RF產生器或一 RF信號激勵器和能夠脈動電力且含有以針 、子各電力位準设計的預設電容器設定值之一匹配網路的 F放大态。因為電容器設定值係固定,故脈波時間不受機 械、、且件之響應限制。第一例可包括致能預設電容器間之切 換的一切換器。針對此種系統的第二例可包括一共同激勵 益、共同激勵器信號輸出間的一移相器、兩或更多RF電力 針對特疋電力位準設計的兩或更多預設匹配網 路、及包圍電漿源的兩或更多電感線圈。如果選擇例如兩 電力位準,則兩電力放大器可操作! 80度異相以產生一連續 串列之RF電力。然而,信號和各信號之工作週期間的相位 差可旎改變。在任逼情形中,由於匹配網路之固定本性而 無法達成恰好阻抗匹配;然而,如果反射電力可以接受, 則可藉由增加從放大器輸出的總電力把向前電力增加到期 望位準。 因此已描述用來以脈波調變RF電力驅動電漿源之方 法,現在將描述用來控制氣體種類之交換的方法。先前已 描述使用.CF4和Ar作為氣體種類的一蝕刻程序。要交換的 兩氣體種類將在此更一般辨識為A和B。然而應瞭解到,如 將更詳細討論於下的,對於某些程序可有多於兩個氣體種 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁)
43 1267562 A7 B7 五 、發明説明(41 5 經濟部.口夹貝工消費合作社印製 •智慧財產局, ο 2 類和對應的RF週期。 第20A、20B和20C圖係顯示三個暫態調變程序成份, 亦即第20A圖中的氣體種類、第2〇B圖中的RF來源電力及 第20C圖中施於夾盤的RF偏壓電力,之幅度和相對相位的 例子之圖。假設氣體交換脈波週期Tg係至少大約等於個別 RF來源和夾盤偏壓電力週期TRF-S和TRF-b。然而,一般上, 並不需要總是如此。 第20A圖中,氣體交換週期係由其週期Tg來界定,兩 氣體之半週的個別脈波寬度係r a和I* b,而兩氣體之個別 質量流量率為FA和FB。 同樣地’第20B圖中’ RF來源電力週期由其週期trf s 來界定,各RF來源電力週期之兩半週的個別幅度係pAi和 PB1,各RF來源電力週期之半週的個別脈波寬度係.為r Αι 和r.B1,而RF來源電力半週期之個別相位為$幻和p bi, 相對於個別氣體種類半週期。 第20C圖顯示針對施於夾盤的RF偏壓電力之可比較參 數,其係為RF偏壓電力週期期間TRF_b,各RF偏壓電力週期 〆 之兩半週的個別幅度Pm和Pm,各RF偏壓電力週期之半週 的個別脈波’寬度r a2和r B2 ’及相對於個別氣體種類半週 期的RF偏壓電力半週期之個別相位為p八2和p B2。 進一步依據本發明,來源電力、偏壓電力及/或氣體流 動可具有依賴於腔室情況及/或程序需求上的改變之一變 化週期。第20D圖顯示包含在期間提供具有重複期間Γ ,之 脈波的第一時間區間Τ〗、在期間提供具有重複期間r 2之脈 ! ^ . 嫌I丨 (請先閲讀背面之注意事項再填寫本頁) 、11 本纸張尺度適用中國國家標準(CMS ) A4規格(210X 297公釐) 1267562 A7 B7 五、發明説明(42 波的第二時間區間了2及在期間沒有調變的第三時間區㈣ 的此種調變圖型之-例。如此圖型對其中—㈣或沉積程 序包含數個步驟的許多應用可能古糾 請 閲 讀 背 © 冬 意 事 項 再 寫 本 頁 心用了月匕有利。各步驟可與一個別 時間區間T〗、丁2、丁3等等相關聯。各 p谷步驟可與針對如在程 序終點前錢刻率上之可能縮減的—不同敍刻率、钮刻程 序之不同選擇度等等的需求之不同腔室情況相關聯。 由於上述系統之複雜度、且特別是獨立變數之數目, 使用-神經網路可能有利H中央化電腦可接收來自 電氣系統的現場診斷及/或來自—f料庫之包括期望㈣ 率、選擇度、特徵外形參數料的_存程序㈣,並調 整大參數空間來達成最佳程序結果。針對多變化統計分析 的神經網路之使用在文獻中妥為證實。 訂 經濟貝工消費合作社印製 ' •智慧財產局· 在迄今提供的描述中,已假設最小脈波寬度被限於最 小氣.體交換時間。這可為l1()msee。然而氣體和RF電力 之脈動不限於此時間尺度。它們可例如以11113“來調變。 可能氣體無法在此時間期間内完全交換,並因此存在有可 藉由調整相對於氣體脈動的RF電力應用之相位而調適的 落後時間。這只是一個例子。按照在極高脈波速率的非最 佳情況’相移致使把程序調整到最佳情況。 0 可能有多於兩種氣體成份和程序成份。因此,這些成 份可用Pij和Pij來表示,其中索引“i”和“j”分別代表氣體成 份和程序戒份。各參數可名義上根據經驗來設定,並可根. 據程序結果之觀祭來修正。例如,要討論於下的電漿密度 監測、或現場放射頻譜可被用來經由回授把即時控制提供 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 45 1267562 A7 B7 五、 發明説明(43 5 經濟部?芡费姜負工消費合作社印製 ' .智慧財產局 6用來調整這些參數的系統組件。 氣體種類和RF來源電力之調變與施於夾盤的rF偏壓 電力之調變搞合以控制離子能量和離子能量分佈。離子能 里係與呈現在基體上的DC自給偏壓直接相關,並提供用來 加速正離子橫越電漿絕緣膜並到基體中的一驅動電位。 當RF電力經由一耦合電容器施於固持基體之夾盤 4 ’一 DC自給偏壓因横過電漿絕緣膜的電子和正離子勹游 動上的差異而開始。習知技術中已熟知用來產生自給 偏壓之機構和技術。 傳送到夾盤的RF偏壓電力之調變將包含下列··⑴灯 電力之幅度的調變,(π)脈動成份間的相位之調變,(iii)RF 信號内的諧波内容之調變。各個之重要性敘述於下。 傳送到夾盤與腔室中氣體交換相對的RF偏壓電力之 幅度和相位的暫態調變係以相似於上述相對於rf來源電 力之幅度和相位的暫態調變者之方式來實施。如用rf來源 電力的,-自由運作振盪器(FR0)可被使用把灯電力供應 到夾盤。 與一受RF偏壓夾盤之諧波内容和幅度之調變連結 的’必須考慮控制-電漿絕緣膜之情形。在氧化姓刻中, 據相信…般上目前可用的„反應器在晶圓灯驅動和接 地間呈現-電路阻抗’其超過電浆絕緣膜之阻抗多於一量 度等級。·如此高阻抗位準防止有效電I絕緣膜控制之^ 成。具有充分低阻抗的-電ϋ反應器結構在稍早引述的2 查中國際申請案第PCT/us99/07962號中揭露。
46 1267562 A7 B7 5 經濟部D^;標准工消費合作社印^ ,•智慧財產局 -P4- 五、發明説明(44 /、型上在電漿反應器内,反應器中接地電極和受驅動 電極(亦即,夾盤)間的阻抗可歸因於幾個成份。反應器形 狀需求從夾盤到接地的電氣路徑,以通過包圍夾盤和其支 持物的風箱、透過從夾盤延伸到腔室壁上到外壁的輻條、 透過電漿源並到注射平板。這在稍早引述的國際申請案第 PCTVUS99/07962號中更詳細揭露。第14A和14B圖中顯示的 反應器形狀消除上述電氣路徑之幾個成份,亦即腔室壁、 腔室底部的風箱和輻條。夾盤設置緊鄰著電漿源底部且夾 盈事μ上用做反應器之底壁。夾盤被設計可上下移動使得 它可向下垂直移到負載鎖定腔室中來收納或遞出一晶圓, 且然後向上移動並鎖定到其運作位置中,在此它用做電漿 源基底。由於數個電抗之消除在腔室阻抗上的縮減,電漿 絕緣臈之控制變得更可實施。 在此稍早已討論使傳送到電漿源的RF電力脈動之方 法、及以使用FRO能夠達成1%正確度的方波之形式來調變 RFte度。然而,最終目的係以與控制!^幅度相似的方式來 控制電漿密度。這需要電漿密度之現場監測以提供針對調 整RF幅度之一控制系統的回授。 除了脈動氣體注射和RF電力位準外,根據本發明的電 聚處理操作也可包括把處理腔室壓力從一氣體或電力位準 脈波改變到另一個或在各如此脈波期間。 雖然·上面已詳述本發明之少數例示實施例,那些熟知 該技術者將易於銘感到在例示實施例中許多修正是可能 的’而不實質偏離本發明之新穎性和優點。據此,意圖把 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐 I^—:-----^-- (請先閲讀背面之注意事項再填窝本頁) 47 經濟、貝工消費合作社印製 .•智慧財產局 1267562 Λ7 Α7 Β7 五、發明説明(45 ) 所有如此修正包括在本發明之範®壽内。 元件標號對照表 2…殼體 84、 94、104···真空返回淳口 4···負載鎖件 86、 96…邊界 6、36…夾盤 A、: B…氣體 8…晶圓 101· •CF4作用氣體 14、50…螺旋線圈 102, ••氟化碳電漿 18、18’…氣體注射總成 103· •PR光罩 20…排氣泵總成 104, ••Ar作用氣體 21…線條 105· •Ar氣體電漿. 30…電漿源 500· •閥 32…負載鎖定腔室 501. 通孔喉口 34…晶圓操控系統 502- •通孔出口 3 8…來源電源供應器(匹配網 503· •發散喷嘴 路) 801· ,電闊 40…真空腔室 802· •氣體管線 42、64…调旋分子泵 803· •壓力轉換器 44…平板 804· •注入糸統 46…閥 805· •氣體遮件 48…流動區 806· •注入埠口 49…分離裝置 807· .電腦 52…靜電遮蔽 121· •滑件閥 60…氣體注射/排氣平板 131· •氣體儲源 62…氣體管線入口 132· •壓力調節器 66…氣體入口閥 133· •質量流動感測器 68…過渡導管 134· •電腦 7 0…風箱 151· •注射通孔 72…提升插銷 152· •排氣導管 80、90、1Ό0…平板 161· •真空泵 82、92、102…氣體注射埠口 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. _y,_____
    i U- τ.! r; {二..'’ 'H 反皮Μ補充 5 10 15 經濟^-&-来標導肩舅工消費合作社印裝 20 第89116559號申請案申請專利範圍修正本 95们31 1· 一種用來在反應器腔室中於基體上實施電漿辅助處理 之方法,該方法包含下列步輝: 把至少一作用氣體導入該反應器腔室中; 藉由在該腔室内建立一個RF電磁場並允許該電磁 場與該作用氣體互相作用,以在該反應器腔室内產生— 電漿;及 致使該電磁場具有在各足以維持該電漿的至少兩 數,間週期改變之—能量位準,使得各能量位準值與該 基體上的-各自不同之處理程序的性能相關聯。 2.依據中請專利範.圍第的方法,其中在該致使步 ’該電磁場之能量位準被弄成輯—非正方波函數來改 〇 3·依據申請專利範圍第旧的方法.,其中在該致使步驟中 ’该電磁場之能量位準被弄成根據—正弦、斜進 階函數來改變。 . ^ 4·依據申請專利範圍第1項的方 甘士 士 貝的方法,其中在該致使步驟中 ’ 5玄電磁場之能量位準姑玉 早破弄成在各足以維持該電漿的至 少三個數值間改變。 王 5·依據申請專利範圍第1項的方法,其中在該致使步驟中 =電、磁場之能量位準轉成在分別列的«區間期 間用/刀別不同的重複期間來週期改變。 6·:二:::專利範圍第〗項的方法,其更包含在 至中維持一週期改變之氣體壓力。 本紙成尺顏/种is財轉(CNS) (請先閲讀背面之注意事項再填寫本頁)
    49 1267562Ρ、申請專利範圍 ABCD 10 15 20 7,依據申請專利範圍第i項的方法,其更包含在-第一時 間週期期揭把一第一作用氣體導入該反應器腔室中,並 j接於該第-時間週期的―第二時間週期期間導入具 另與该第-作用氣體不同組份的―第二作用氣體。 & 2據申請專利範圍第7項的方法,其更包含在把作用氣 中的個導入喊反應器腔室前,把先前導入的另一種 作用氣體大致整個從該反應器腔室抽出。 9·依據申請專利範圍第8項的方法,其中致使該電磁場週 期改變之該步驟係被執行,來致使該能量位準在該第一 日」間週期之一主要部份期間具有該兩數值中的一第一 個’而在該第二時間週期之一主要部份期間具有該兩數 值中的一第二個。 10·,據申請專利範圍第9項的方法,其中導入一第一作 氣體和導入-第二作用氣體之該等步驟係以一週期 式來重複。 α依據申請專利範圍第10項的方法,其中各時間週期具有 小於100msec之期間。 12.依據申請專利範圍第η項的方 J力凌其中該基體係為安裝 -央盤上的一晶圓’並更包含把—個灯偏麼電麼施 加於該夾盤。 依據申請專利範圍第12項的 ^ .. 次 其中施加一個RF偏 i電塵乏該步驟包含在兩數值門 值間週期改變該RF偏壓電 Μ。 14·依據申凊專利範圍第1 3項的方法 次’其令該RF偏壓電壓 用方 ti _ (讀先閲讀背面之注意事項再填寫本頁〕 —訂 .—r n n I— n 本紙張尺度適用中國國 線 ------ ------_ 50 1267562 ABCD '申請專利範圍 10 15 係與該RF電磁場強度之週期變化同步地改變。 15.依據申請專利範圍第1 〇項的方法,其中在導入一第一作 用氣體和導入一第二作用氣體之該等步驟中,各作用氣 〃體係以根據一非正方波函數來改變的一流量率而導入。 16·依據申請專利範圍第10項的方法,其中在導入一第一作 用氣體和導入一第二作用氣體之該等步驟中,各作用氣 體係以根據一正弦、斜進、或步階函數來改變的一流量 率而導入。 17.依據申請專利範圍第7項的方法,其更包含在尾隨於該 .第二時間週期後的一第三時間週期期間導入具有與各 個該等第一和第二作用氣體不同組份的至少一第三作 用氣體。 18·依據申請專利範圍第7項的方法,其更包含在該作用腔 室中維持一週期改變之氣體壓力。 請 先 閲 面 之 注 意 事 項 再 旁 訂 工消費合作杜印製 衣紙張尺心财賴 51
    101 丨 1/20 第m C --- 102 z ) 1 1 丨 CF2I 4 J 1 丨 cf2. 1 1 ^1103 -·:-· :..v.^: ···· ‘“···-- · ·广·. Si02 ^ CF2 · __
TW089116559A 1999-08-17 2000-08-16 Pulsed plasma processing method and apparatus TWI267562B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14917799P 1999-08-17 1999-08-17

Publications (1)

Publication Number Publication Date
TWI267562B true TWI267562B (en) 2006-12-01

Family

ID=22529101

Family Applications (2)

Application Number Title Priority Date Filing Date
TW089116559A TWI267562B (en) 1999-08-17 2000-08-16 Pulsed plasma processing method and apparatus
TW095111487A TWI293769B (en) 1999-08-17 2000-08-16 Reactor for performing a plasma-assisted treatment on a substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW095111487A TWI293769B (en) 1999-08-17 2000-08-16 Reactor for performing a plasma-assisted treatment on a substrate

Country Status (9)

Country Link
US (1) US7166233B2 (zh)
EP (1) EP1214459B1 (zh)
JP (1) JP4819267B2 (zh)
KR (1) KR100750420B1 (zh)
CN (1) CN100371491C (zh)
AT (1) ATE420454T1 (zh)
DE (1) DE60041341D1 (zh)
TW (2) TWI267562B (zh)
WO (1) WO2001012873A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575552B (zh) * 2011-11-15 2017-03-21 蘭姆研究公司 電漿處理系統中之惰性物支配脈動
TWI620831B (zh) * 2011-11-15 2018-04-11 蘭姆研究公司 混合式脈動電漿處理方法
US11017987B2 (en) 2017-08-18 2021-05-25 Sony Semiconductor Solutions Corporation Etching method and etching processing apparatus

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US7288293B2 (en) * 2001-03-27 2007-10-30 Apit Corp. S.A. Process for plasma surface treatment and device for realizing the process
JP4504012B2 (ja) * 2001-06-29 2010-07-14 東京エレクトロン株式会社 半導体処理のための方向付けられたガスの射出装置
JP2003173757A (ja) * 2001-12-04 2003-06-20 Nissin Electric Co Ltd イオンビーム照射装置
KR100449645B1 (ko) * 2002-01-23 2004-09-22 주식회사 아이피에스 자기 ald 박막증착방법
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2005072260A (ja) * 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7763546B2 (en) * 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
JPWO2008117832A1 (ja) * 2007-03-27 2010-07-15 キヤノンアネルバ株式会社 真空処理装置
US7768766B2 (en) 2007-06-01 2010-08-03 Lam Research Corporation Plasma processing system ESC high voltage control
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8633452B2 (en) 2011-07-13 2014-01-21 Fei Company Methods and structures for rapid switching between different process gases in an inductively-coupled plasma (ICP) ion source
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8822913B2 (en) 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8722526B2 (en) 2012-07-27 2014-05-13 Veeco Ald Inc. Growing of gallium-nitrade layer on silicon substrate
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9156688B2 (en) 2012-11-30 2015-10-13 Elwha Llc Systems and methods for producing hydrogen gas
US9434612B2 (en) 2012-11-30 2016-09-06 Elwha, Llc Systems and methods for producing hydrogen gas
WO2014085594A2 (en) * 2012-11-30 2014-06-05 Elwha Llc Systems and methods for producing hydrogen gas
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103898613B (zh) * 2012-12-24 2017-07-07 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9006109B2 (en) * 2013-03-27 2015-04-14 Infineon Technologies Ag Semiconductor devices and methods for manufacturing semiconductor devices
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP5921580B2 (ja) * 2014-01-15 2016-05-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
CN108292603B (zh) * 2016-01-06 2022-06-28 东芝三菱电机产业系统株式会社 气体供给装置
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
KR102362282B1 (ko) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR102287835B1 (ko) 2016-04-20 2021-08-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN106234557A (zh) * 2016-10-10 2016-12-21 成都沃特塞恩电子技术有限公司 一种射频功率源和射频解冻装置
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2021236359A1 (en) 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3677799A (en) 1970-11-10 1972-07-18 Celanese Corp Vapor phase boron deposition by pulse discharge
US3721583A (en) 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
FR2320774A1 (fr) 1974-01-10 1977-03-11 Radiotechnique Compelec Procede et dispositif de depot de materiau dope
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
ATE49023T1 (de) * 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JPH08172081A (ja) * 1995-08-28 1996-07-02 Hitachi Ltd プラズマ表面処理装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH11158615A (ja) * 1997-11-27 1999-06-15 Nec Corp スパッタリング装置及びそれを使用した半導体装置の製造方法
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP3186689B2 (ja) * 1998-03-27 2001-07-11 株式会社日立製作所 プラズマ処理方法およびその装置
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575552B (zh) * 2011-11-15 2017-03-21 蘭姆研究公司 電漿處理系統中之惰性物支配脈動
TWI620831B (zh) * 2011-11-15 2018-04-11 蘭姆研究公司 混合式脈動電漿處理方法
US11017987B2 (en) 2017-08-18 2021-05-25 Sony Semiconductor Solutions Corporation Etching method and etching processing apparatus

Also Published As

Publication number Publication date
ATE420454T1 (de) 2009-01-15
CN100371491C (zh) 2008-02-27
KR20020040775A (ko) 2002-05-30
EP1214459B1 (en) 2009-01-07
US7166233B2 (en) 2007-01-23
US20020160125A1 (en) 2002-10-31
JP2003507880A (ja) 2003-02-25
EP1214459A1 (en) 2002-06-19
TW200629337A (en) 2006-08-16
WO2001012873A1 (en) 2001-02-22
TWI293769B (en) 2008-02-21
CN1369021A (zh) 2002-09-11
EP1214459A4 (en) 2006-07-26
DE60041341D1 (de) 2009-02-26
KR100750420B1 (ko) 2007-08-21
JP4819267B2 (ja) 2011-11-24

Similar Documents

Publication Publication Date Title
TWI267562B (en) Pulsed plasma processing method and apparatus
JP7397247B2 (ja) プラズマ処理のための制御のシステム及び方法
TWI822617B (zh) 射頻產生器及用於產生射頻訊號的方法
TWI814838B (zh) 控制方法、電漿處理裝置、電腦程式及電腦記錄媒體
US5587038A (en) Apparatus and process for producing high density axially extending plasmas
US6312554B1 (en) Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
EP0570484B1 (en) System for generating a high density plasma
US5874807A (en) Large area plasma processing system (LAPPS)
JP5461148B2 (ja) プラズマエッチング方法及び装置
KR20090037486A (ko) Rf 변조에 의해 탄도 전자빔의 균일성을 제어하는 방법 및 시스템
Economou Tailored ion energy distributions on plasma electrodes
CN107068559A (zh) 具有离子加速器的双室等离子体蚀刻器
TW201709257A (zh) 電漿處理裝置及電漿蝕刻方法
JP2016134461A (ja) プラズマ処理方法およびプラズマ処理装置
CN109686643A (zh) 处理装置以及具有扩散路径的构件
Nam et al. Two-dimensional simulation of a miniaturized inductively coupled plasma reactor
JP2015211093A (ja) プラズマ処理装置
Sukhinin et al. Development of a distributed ferromagnetic enhanced inductively coupled plasma source for plasma processing
US20040263083A1 (en) System and method for inductive coupling of an expanding thermal plasma
KR101477676B1 (ko) 플라즈마의 라디칼 제어 장치 및 방법
Despiau-Pujo et al. Simulations of radical and ion fluxes on a wafer in a Cl2/Ar inductively coupled plasma discharge: Confrontation with GaAs and GaN etch experiments
Kushner Non-Equilibrium Processes, Stability, Design and Control of Pulsed Plasmas for Materials Processing
Hopwood et al. Application-driven development of plasma source technology
Vitello Pre-sheaths and turbulent flow in electronegative plasmas
No et al. Meger et al. PATENT APPLICATION

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent