KR100750420B1 - 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 - Google Patents

플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 Download PDF

Info

Publication number
KR100750420B1
KR100750420B1 KR1020027002007A KR20027002007A KR100750420B1 KR 100750420 B1 KR100750420 B1 KR 100750420B1 KR 1020027002007 A KR1020027002007 A KR 1020027002007A KR 20027002007 A KR20027002007 A KR 20027002007A KR 100750420 B1 KR100750420 B1 KR 100750420B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
plasma assisted
processing
chamber
Prior art date
Application number
KR1020027002007A
Other languages
English (en)
Other versions
KR20020040775A (ko
Inventor
존슨웨인엘
스트랭에릭
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20020040775A publication Critical patent/KR20020040775A/ko
Application granted granted Critical
Publication of KR100750420B1 publication Critical patent/KR100750420B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electrically Driven Valve-Operating Means (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

리액터 챔버(2)내의 기판상에서 플라즈마 보조 처리를 실행하는 방법에 있어서, 적어도 하나의 처리 가스를 리액터 챔버내로 도입하는 단계와, 상기 챔버내에 RF 전자장을 설정하고 전자장을 상기 처리 가스와 상호작용하게 함으로써 상기 리액터 챔버내에 플라즈마를 형성하는 단계와, 각각의 에너지 레벨 값이 상기 기판의 각각의 상이한 처리 프로세스의 실행과 관련되도록, 전자장이 상기 플라즈마를 유지하기에 충분한 적어도 2개의 값 사이에서 주기적으로 변화시키는 에너지 레벨을 갖게 하는 단계를 포함한다.

Description

플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리 실행 리액터{PULSED PLASMA PROCESSING METHOD AND APPARATUS}
본 발명은 가스 종류(gaseous species)가 이온화되고, 해리되거나 달리 플라즈마내에서 변형되고 그리고 변형된 종류 또는 그 성분이 기판을 가격하게 되는 플라즈마 관련 기판 처리 방법에 관한 것이다.
과거 몇 10년에 걸쳐서, 플라즈마 보조 기판 처리는 다양한 산업, 특히 반도체 산업에서 채용이 증가되고 있으며, 이러한 산업에서 기본적인 처리 단계는 반응 이온 에칭(reactive ion etching : RIE), 플라즈마 보조 화학 증착법(CVD), 스퍼터링, 반응 스퍼터링 및 이온 물리 증착법(PVD)을 포함한다. 일반적으로 이들 산업 분야에서 현재 이용되는 프로세스는 연속적인 모드로 작동된다. 즉, 시간에 따라 또는 일시적으로 변화 또는 조정되는 단지 파라메터는 무선 주파수(radio frequency : RF) 에너지이며, 이 에너지는 RF 여자 단일 주기에서 본질적으로 변화된다.
실제로, RF 주기와 비교해 더 큰 프로세스 시간에 비해 작은 시간 스케일상의 프로세스 파라메터의 일시적 변조는 소수의 조사 선택 영역에 제한된다. 가스 종류의 일시적 변조는 원자 층 에피택시(atomic layer epitaxy : ALE) 및 펄스 제트 에피택시(pulsed jet epitaxy : PJE)와, ALE의 유도체에 이용되며, 보다 최근에는 RF 파워의 일시적 변조는 전자 온도 제어의 결과로서 의도적인 선택성을 개선하는 것으로 증명되었다.
연구되고 사용된 RF 파워의 일시적 변조는 RF 진폭의 일시적 변조를 간단히 포함한다. 이들 함수를 별개의 푸리에(Fourier) 공간, 즉
Figure 112002004601085-pct00001
으로 나타내도록 주기 함수(시간)로 처리되는 경우 편리하다. 여기에서, An은 푸리에 진폭이며, ωn은 각도 주파수(=2πnfO)이다. 일반적으로, 가해진 RF 신호는 푸리에 조화 진폭(An)이 시간과 무관한 상기 형태를 취한다. 그러나, 펄스형 RF 인가는 일반적으로 An=An(t)이다.
에칭, 증착 및 관련 프로세스의 수행에서 RF 파워를 펄스와 조합한 가스의 펄스화 개념은 예를 들면 하이네케 등에게 허여된 미국 특허 제 4,824,690 호에 개시되어 있다. 상기 미국 특허 제 4,824,690 호는 처리 가스 교체 속도와 일치하는 시간 스케일상에서 교체 속도에서 처리 챔버내로 상이한 가스를 진동 방법으로 교호적으로 도입하고 그리고 동시에 각 가스 교체 사이클의 개시와 일치하는 RF 파워를 펄스화하게 하는 플라즈마 리액터를 제안하고 있다. RF 파워는 각 가스 도입 펄스의 개시시에 50 내지 500msec(약 0.1 내지 1%의 듀티 사이클)의 펄스 폭으로 오프와 약 60㎾ 사이에서 펄스화된다. 하이네케 등의 미국 특허 제 4,935,661 호에는 가스 교체 속도가 고압하에서 가스 도입을 펄스화함으로써 개선될 수 있는 것이 개시되어 있다.
상기 미국 특허 제 4,824,690 호 및 제 4,935,661 호에는 증착 프로세스에 관한 것이지만, 이들은 에칭과 같은 다른 프로세스에 개시된 기술을 적용하는 것으로 언급하고 있다.
상기에서 언급한 바와 같이, 가스 종류의 일시적 변조는 원자 층 에피택시(ALE), 화학 증착법(CVD), 분자 비임 에피택시(molecular beam epitaxy : MBE), 펄스 제트 에피택시(pulsed jet epitaxy : PJE), 펄스 분자 비임, 펄스 가스 분사 및 펄스 가스 밸브를 포함하는 몇몇 분야에 걸쳐 있다. 특허 문헌에서, 펄스 가스 분사의 3가지 형태는 펄스 제트; 런/벤트; 및 펄스 "트레인"으로 표시되어 왔다. 명칭이 암시하고 있는 바와 같이, 펄스 제트 분사는 일련의 별개의 펄스의 형태로 가스를 분사하기 위해서 가스 분사기를 제어함으로써 실행된다. 런/벤트 구성에서, 하나 또는 그 이상의 가스 스트림은 ("런") 챔버 분사 또는 ("벤트") 배기 사이에서 선택적으로 절환된다. 배기 또는 벤트 시스템은 챔버 상태(즉, 압력 등등)를 모방해야 한다. 펄스 "트레인"은 연속적으로 유동하는 캐리어 가스를 이용하며, 상이한 가스는 주 캐리어 가스내로 주기적 분사함으로써 사이클적으로 캐리어 가스내로 도입되며, 그에 따라 가스 "트레인"을 생성한다. 인접한 종류 사이의 가스 확산을 최소화하기 위해서, 가스 펄스화는 캐리어 가스가 확산 배리어로서 작용하게 허용하는 충분하게 낮은 듀티 사이클에서 작동한다. 한편, 2개 또는 그 이상의 상이한 처리 가스가 선택적으로 분사되는 경우에, 처리 가스 양자 또는 모두의 유동은 양 가스를 캐리어 스트림내로 분사하기 전에 짧은 주기 동안 차단되며, 그에 따라 2개 또는 그 이상의 처리 가스의 연속적인 1회분이 서로 분리된다. 예를 들면, 이들 3가지 형태의 펄스 가스 분사는 블랙크리의 미국 특허 제 3,721,583 호, 부처의 미국 특허 제 3,979,235 호, 선톨라 등의 미국 특허 제 4,058,430 호 및 선톨라 등의 미국 특허 제 4,413,022 호에 개시되어 있다.
종종 공지된 ALE 프로세스 동안에 가스의 교체가 저압 상태하에서 그리고 리액터 가스 잔류 시간보다 충분히 긴, 즉 몇분 내지 몇십분의 가스 교체 주기에 걸쳐서 이뤄진다.
PJE는 ALE의 연장이며, 높은 속도에서 초음속 제트로 작동한다. 오제키 등에 의한 몇몇 문헌은 10msec 정도로 낮은 주기를 가진 가스 교체 속도로 고속 제트의 이용을 교정했다. 이들 문헌은 "Appl. Phys. Lett., Vol. 53, p16(1988)"의 "고속 가스 스트림을 이용하는 GaAS의 원자 층 에피택시로의 새로운 접근"과; "Thin solid films, Vol, 174(1989)"의 "새로운 원자 층 에피택시 기술에 의한 GaSa 및 AlAs 박막의 성장"과; "J. Crys. Growth, Vol. 107(1991)의 "Ⅲ-Ⅴ 화합물의 펄스 제트 에피택시"를 포함한다. 또한, 에레스 등의 미국 특허 제 5,164,040 호에는 몇 Torr 내지 200Psi 범위의 분배 압력에서 처리 가스를 제공하는 공급원 용기에 의해 공급된 펄스 초음속 제트의 어레이를 이용하는 PJE 기술이 개시되어 있다. 또한, 다수의 제트는 서로에 대한 주파수 및 위상의 모든 변화로 펄스화될 수 있다.
ALE와 유사하게, PJE 기술은 선택적인 에피택시를 개선하고, 매우 균일한 증착을 생성할 수 있다.
마지막으로, 펄스 분자 비임의 연구는 짧은 일시적 펄스 폭 및 높은 반복 속도를 가진 펄스 분자 비임을 생성하는 가능성을 제시하였다. 전형적으로, 문헌에는 펄스 분자 비임이 고속 EM 밸브를 이용하여 생성되는 것이 보고되어 있고, 일부는 연료 분사기 밸브 및 압전 장치를 다루는 것과 유사하다. 예를 들면 이러한 형태의 기술은 "HD+He collisions..., J. Chem. Phys., Vol. 67, p 11 (1977)"에서의 젠트리와 기에스의 "용해된 단일-양자 회전 여자"와; "J. Chem. Phys., Vol. 72, p 2 (1979)"에서의 발레 등의 "약한 분자 콤플렉스의 회전 스펙트럼을 관찰하는 새로운 방법"과, Rev. "Sci. Instrum., Vol. 52, p 1 (1981)"에서의 배시 등의 "펄스 분자 비임 공급원"과; "Rev. Sci. Instrum., Vol. 53, p38 (1982)"에서의 크로스 등의 "높은 반복 속도 펄스 노즐 비임 공급원"과; "Rev. Sci. Instrum., Vol 56, p 11 (1985)"에서의 안드레슨 등의 "압전 펄스 노즐 비임의 특성"에 개시되어 있다. 타임-오브-플라이트(time-of-flight : TOF) 및 UV 레이저 유도 형광 측정의 결과는 50㎲와 같이 짧은 펄스 타임 및 1000㎐ 정도로 높은 반복 속도가 성취될 수 있다는 것을 나타낸다.
RF 파워를 플라즈마로 펄스화하는 것은 선택성 및/또는 균일성을 주로 향상시키기 위해서 뿐만 아니라 충전 손상에 영향을 미치도록 이용되어 왔다. 종래의 작업의 초점은 RF 파워를 오프와 온 사이에서 일시적으로 조정하며, 이에 의해 펄스 폭 및 펄스 반복 속도(pulse repetition rate : PRR)를 조정함으로서 선택성 및 균일성의 개선을 성취하는 것이다. 특히, 플라즈마내의 해리의 생성물을 제어하고, 다음에 에칭 또는 증착 화학생성물의 반응물질을 제어하기 위해서 RF 파워를 일시적으로 변조하는 것이 제안되었다. 기본적으로, RF 파워의 펄스 변조는 펄스 사이클에 걸쳐서 평균적으로 전자 온도를 감소시키며, 따라서 전자 에너지 분산의 시간 평균에 직접적으로 영향을 미친다. 플라즈마내의 분자의 해리 및 이온화의 정도 또는 속도는 전자의 개수 및 충돌 단면에 비례하며, 충돌 단면은 전자 에너지에 따라 좌우된다. 따라서, 플라즈마내의 전자 에너지 분포를 제어함으로써 기판 처리를 위한 화학 반응물질을 제어할 수 있다.
휴 등의 미국 특허 제 3,677,799 호에는 붕소 코팅 증착을 제어하기 위해 펄스 RF 파워를 이용하는 것이 개시되어 있다. 고린 등의 미국 특허 제 4,263,088 호에는 에칭 프로세스의 종료를 결정하기 위한 배기 분광의 이용이 개시되어 있으며, 에칭 프로세스의 종류는 RF 파워가 연속적인 모드로부터 펄스 모드로 절환되는 것에 따라 좌우된다. 몇몇 다른 특허에는 반응물질을 충분히 고갈시키기 위한 시간과 비교해 작은 시간 스케일에서 RF 파워를 펄스화하는 것이 제시되어 있다. 예를 들면 엥글의 미국 특허 제 4,401,507 호 및 엘렌버거 등의 미국 특허 제 4,500,563 호가 있다. 이러한 펄스화는 에칭/증착 선택성 및 균일성을 향상시키는 것으로 나타났다.
보다 최근에, 에칭 선택성을 제어하기 위한 RF 펄스의 이용이 보고되었다. "Mat. Res. Soc. Symp. Proc., Vol 406, p15(1996)"에서의 수가이 등의 "고밀도 에칭 플라즈마의 진단 및 제어"라는 문헌에는 유도적으로 결합된 플라즈마 리액터(inductively coupled plasma reactors : ICP)상의 진보된 진단의 수행이 개시되었다. 펄스 폭, 진폭 및 반복 속도의 변화를 통해서, SiO2 내지 Si의 선택성의 개선은 CF2 내지 CF3, CF 및 F의 상대적 농도를 조절함으로써 성취되었다. 실제로, "Jpn. J. Appl. Phys., Vol 33(1), p2133(1994)"에서의 사무카와의 "펄스-타임 변조 ECR 플라즈마에서의 높은 선택성 및 높은 이방성 SiO2 에칭"이라는 문헌에는 CF2 내지 F의 비율과 펄스 기간 사이의 직접적인 관계가 개시되어 있다. 또한, "NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Thrust, A Teleconferece(11/6/1997)"에서의 라벨르 등의 "펄스형 PECVD 플루오르카본 박막의 특성의 선구물질의 영향"이라는 문헌에는 펄스 PECVD 플루오르카본 박막상에서의 작업시의 개선된 CF2 비율이 보고되어 있다.
산화 에칭 프로세스에서의 선택성을 개선하는 것에 추가하여, "J. Vac. Sci. Technol. B, Vol. 12(6), p3300(1994)"에서의 사무카와 등의 "높은 선택성을 위한 펄스-타임 변조 전자 사이클로트론 공명 플라즈마 에칭 ........."이라는 문헌에는 펄스 RF 파워를 이용하는 폴리실리콘 에칭에서의 이온 에너지 스펙트럼의 제어가 개시되어 있다. "J. Vac. Sci. Technol. B, Vol. 15(1), p66(1997)"에서의 엔 등 의 무선 주파수 파워 변조에 의한 미립자 형성 및 그 제어의 연구 ........"라는 문헌에는 RF 변조를 거쳐서 미립자 형성을 감소시키는 것이 개시되어 있다.
또한, "1998 Dry Process Symposium (Ⅵ-5), p141-146"에서의 온 등의 "타임 변조 바이어스 방법에 의한 폴리실리콘 에칭의 선택성 및 프로파일 제어"라는 문헌에는 개선된 폴리실리콘 내지 SiO2 에칭 선택성, 개선된 에칭 이방성 및 펄스가 척 바이어스를 변조하는 경우 억제된 마이크로-침해 현상이 보고되었다.
마지막으로, "Dry Process Symposium(Ⅴ-1), p 97-102"에서의 오타케 등의 "펄스 타임 변조 플라즈마에 의한 형태학에 좌우되는 충전 손상의 감소"라는 문헌과; "1998 Dry Process Symposium(Ⅳ-2), p 85-90"에서의 마츠이 등의 "미세 구조체의 충전 형성시의 펄스 변조 플라즈마의 영향"이라는 문헌에는 형태에 좌우되는 충전 손상의 경감과 관련된 연구된 펄스 변조 플라즈마가 개시되었다.
따라서, 가스 종류 또는 플라즈마로 전달된 RF 파워를 일시적으로 변조(또는 펄스화)하는 개념은 공지되어 있다. 실제로, 공지된 바와 같이 이들 개념은 고가로 연구되었다. 그러나, 공지된 유도적으로 결합된 플라즈마(ICP) 기술에 의거한 웨이퍼 처리 기술은 반응 화학물질 및 충격의 독립적인 제어가 부족하다. 예를 들면, 처리 가스의 해리를 거쳐서 적당한 에칭 반응물질을 생성하는 필요한 플라즈마 상태는 최적의 이온 에너지(그리고 이온 에너지 분포)를 기판으로 전달하는데 필요한 상태와 동일하지 않다.
또한, 공지된 챔버 행태는 반응성 가스의 효율적인 이용이 불가능하거나 또 는 휘발성 에칭 생성물을 효율적으로 제거할 수 없다.
발명의 요약
본 발명의 주 목적은 유도 결합된 플라즈마(ICP) 기술에 의거한 공지된 웨이퍼 처리 절차에서 고유의 다수의 제한을 제거하는 것이다.
본 발명의 다른 목적은 에칭 선택성 및 측벽 프로파일을 개선하기 위해서 상이한 프로세스 스테이지를 최적화하도록 프로세스 파라메터의 독립적인 제어를 한 방향으로 이뤄질 수 있게 하는 것이다.
본 발명의 다른 목적은 펄스 가스 및 펄스 RF 변조를 이용하여 가스 이용 효율을 개선하고 그에 따라 펌프 속도 요구조건을 감소시키는 것이다.
본 발명의 또다른 목적은 펄스 가스 및/또는 펄스 RF 변조를 이용하여 일련의(시간으로) 별개의 상이한 프로세스 단계를 실행할 수 있고 소정의 프로세스 단계에 대해 명백하게 상이한 상태에 영향을 주는 것이며, 여기에서, 일련의 프로세스 단계는 기판상에서의 보다 많은 프로세스 처리를 위해 조합된다.
상기 및 다른 목적은, 본 발명에 따라서, 리액터 챔버내의 기판상에서 플라즈마 보조 처리를 실행하는 방법에 있어서, 적어도 하나의 처리 가스를 리액터 챔버내로 도입하는 단계와, 상기 챔버내에 RF 전자장을 설정하고 전자장을 상기 처리 가스와 상호작용하게 함으로써 상기 리액터 챔버내에 플라즈마를 형성하는 단계와, 각각의 에너지 레벨 값이 상기 기판의 각각의 상이한 처리 프로세스의 실행과 관련되도록, 전자장이 상기 플라즈마를 유지하기에 충분한 적어도 2개의 값 사이에서 주기적으로 변화시키는 에너지 레벨을 갖게 하는 단계를 포함하는 플라즈마 보조 처리 실행 방법에 의해 성취된다.
도 1a 및 도 1b는 본 발명에 따른 프로세스의 일 예를 구성하는 기판 에칭 프로세스에서의 2개의 스테이지를 도시하는 개략적인 정면도,
도 2a 및 도 2b는 반전된 원추형 측벽을 구비하는 플라즈마 처리 챔버내의 2개의 상이한 유동 조건하에서의 가스 유동 패턴을 도시하는 개략적인 도면,
도 3 및 도 4는 플라즈마 처리 챔버내의 상이한 작동 파라메터에서의 변수를 도시하는 그래프,
도 5는 본 발명에 따른 가스 분사 시스템의 제 1 실시예의 단면도,
도 6a, 도 6b 및 도 7은 본 발명에 따른 플라즈마 처리 시스템에서 각종 유동 파라메터를 도시하는 그래프,
도 8 및 도 9는 본 발명에 따른 가스 분사 시스템의 다른 실시예의 단면도,
도 10 및 도 11은 본 발명에 따른 플라즈마 처리 시스템에서 각종 유동 파라메터를 도시하는 그래프,
도 12는 본 발명에 따른 가스 분사 시스템의 다른 실시예의 단면도,
도 13은 본 발명에 따른 가스 운반 시스템의 개략도,
도 14a 및 도 14b는 본 발명을 실행하기 위한 플라즈마 리액터의 2가지 형태의 구성을 도시하는 개략작인 단면도,
도 15a는 도 14a에 도시된 리액터의 한 부품을 형성하는 분사/배기 플레이트 의 일 실시예의 평면도,
도 15b는 도 14b에 도시된 리액터의 한 부품을 형성하는 분사/배기 플레이트의 일 실시예의 평면도,
도 16a 내지 도 16c는 본 발명을 실행하기 위한 플라즈마 리액터의 다른 형태의 구성을 도시하는 개략적인 단면도,
도 17a 내지 도 17c는 본 발명에 따라 작동될 수 있는 리액터 장치의 실제적인 실시예의 각각 측면도, 평면도 및 단면도,
도 18은 본 발명에 따라 작동될 수 있는 리액터 장치의 다른 실제적인 실시예의 부분적으로 절취된 단면도,
도 19a 내지 도 19c는 도 18의 리액터 장치 뿐만 아니라 도 14a 및 도 14b의 리액터 장치에 한 부품으로서 각각 사용될 수 있는 분사/배기 플레이트의 3개 실시예의 평면도,
도 20a 내지 도 20d는 본 발명에 따른 프로세스에서의 다양한 파라메터의 변조를 도시하는 파형도,
도 21은 본 발명에 따라 작동하는 플라즈마 리액터의 웨이퍼 척상의 자체-바이어스의 형성을 도시하는 신호도.
본 발명의 하나의 중요한 실시예에 따르면, 플라즈마를 유지하기 위해 처리 챔버로 전달된 RF 파워는 2개의 값 사이에서 일시적으로 변조된다. 이들 각 값은 플라즈마를 유지하기에 충분하며, 각 파워 값은 기판상에서의 각기 상이한 처리 프로세스를 실행하거나 프로세스의 성능과 관련이 있다.
이러한 조건하에서 이러한 형태의 시스템에 있어서, 기판은 척(chuck) 또는 기판 홀더상에 장착되며, RF 바이어스 파워는 상기 척 또는 기판 홀더에 가해져서, 기판 표면으로 이온을 흡인시키는 작용을 하는 DC 자체-바이어스를 생성한다. 이후에, 플라즈마를 유지 또는 생성하는 제 1 목적을 위해서 기판(용량성 방전에서)에 대향된 유도성 코일(바람직하게 이와 유사) 또는 전극에 가해진 RF 파워는 RF 공급원 파워라고 할 것이다. 플라즈마로부터 기판 표면까지 이온을 흡인하는 제 1 목적을 위해서 척 또는 기판 홀더에 가해진 RF 파워는 RF 바이어스 파워라고 한다. 단순히 RF 파워라고 하는 것은 RF 파워중 하나 또는 양자 형태에 가해질 수 있는 상황에 적용된다.
RF 공급원 파워 및/또는 RF 바이어스 파워의 변조는, 가스 종류가 절차 동안에 변화되지 않는 경우 또는 상이한 가스 종류가 순차적으로 도입되어 각기 각 파워 값이 전달되는 경우 플라즈마에 존재되는 경우 개선된 결과를 이룰 수 있다. 따라서, RF 공급원 파워 및 RF 바이어스 파워를 펄스 변조하는 동시에 동일한 가스 종류 또는 가스 종류의 혼합물의 연속적인 유동을 유지하는 것이 바람직할 수 있는 프로세스가 될 수 있다. 다른 프로세스에서, 가스의 유동을 챔버 및 RF 공급원 파워내로 펄스시키는 동시에 RF 바이어스 파워를 일정하게 유지하는 것이 바람직할 수 있다.
본 발명의 바람직한 실제적인 실시예에 있어서, 챔버내로 도입된 처리 가스는 RF 공급원 파워의 일시적인 변조와 협동작용하는 순서로 2개의 종류 사이에서 변화된다. 이러한 목적은 각기 상이한 가스 종류를 이용하는 2개의 프로세스에서 교대로 실시하기 위한 것이다. 본 발명에 관한 형태의 프로스세스의 전체는 아니지만 대부분의 경우에, 플라즈마 처리 성능을 개선하기 위해서 처리되는 기판을 지지하는 척에 가해진 RF 바이어스 파워를 변조하는 것이 유리하고 그리고 몇몇 경우에는 필요하다. 또한, 이러한 변조는 RF 공급원 파워의 일시적인 변조와 조화될 수 있다. 또한, RF 공급원 파워의 펄스 변조와 RF 바이어스 파워 사이 및/또는 이들 변조중 하나 또는 양자와 처리 가스 도입이 변화되는 패턴 사이의 위상 차이를 도입하는 것이 바람직할 수 있다. 따라서, 위상 관계가 대부분의 절차 동안에 바람직할지라도, 변조 파형 및 처리 가스 도입은 서로 동조시킬 필요는 없다.
플라즈마 리액터내의 2개의 가스 종류를 "신속하게" 교체하고 그리고 플라즈마 그리고 가능한 RF 바이어스 파워를 척에 유지하는 유도적으로 결합된 무선 주파수(inductively coupled radio frequency : ICRF)를 동시에 변조시킴으로써, 2개의 프로세스는 비교적 고속으로 교대될 수 있다. 따라서, 본 발명의 방법은 연속적인 사이클로 실행되며, 각 프로세스는 각 연속적인 프로세스의 각 ½ 사이클 동안에 실행된다.
본 발명은 하나의 예시적인 방법의 내용을 개시하고 있지만, 본 발명은 그 특정 방법으로 어떠한 방법에 의해서도 제한되지 않는다. 예시적인 방법은 이산화 실리콘(SiO2)을 에칭하는데 이용된다.
예를 들면 교호하는 가스 종류는 Ar 및 카본 4플루오르화물(CF4)일 수 있지만, CFCl 및 CHF와 같은 다른 조성물이 또한 이용될 수 있다. Ar 및 CF4은 각각 에칭 프로세스에서 다른 역할을 수행한다. 또한, RF 파워는 각기 CF4 및 Ar의 도입과 일치하는 공칭적으로 <2㎾인 낮은 값과 공칭적으로 5㎾인 높은 값 사이에서 펄스된다. 그리고 마지막으로, 척에 전달된 RF 파워의 진폭은 DC 자체-바이어스 전압 및 그에 따른 이온 에너지에 영향을 미치도록 변조된다. 상이한 가스들과 상이한 변조들 사이의 전환이 광범위한 패턴 또는 파형으로 실행될 수 있고, 가스 및 RF 성분의 진폭 및 균일한 위상 변조의 형태를 취할 수 있는 것으로 기대된다.
가스 성분의 위상 변조는 각 가스 종류와, 그 각 RF 파워 대응부 또는 대응부들 사이의 일정한 위상 변동을 설정하는 것을 포함한다. 본 시나리오에서, RF 파워 펄스 및/또는 가스 종류 펄스는 장방형 형태이지만, 반드시 그렇지는 않다. 파형은 위상의 상이한 주파수 ω+Δω 및 ω-Δω(2Δω와 분리됨, 여기에서 Δω<<ω 임)에서 2개의 사인 신호의 선형 중첩으로서 주기적 램프 또는 사인 함수일 것이다. 이 경우에, 주파수 Δω(즉, 파 다발)에서 주파수 ω 비트(또는 변조된 진폭)를 얻는다.
방법의 각 제 1 ½ 사이클 동안에, 불활성 가스인 프레온-14(Freon-14)로 표시된 CF4가 플라즈마 리액터내로 도입된다. 그러나, 이러한 형태는 플라즈마의 존 재시에 일시적일 것이며, 여기에서 불활성 CF4 분자는 CF3, CF2, CF, F 등과 같은 다양한 기(radicals)로 분리될 수 있다. CF4와 달리, 이들 기는 이온 충격이 부가되는 경우 산화물(SiO2)로 다소 활성화되며, 휘발성 반응 생성물, 즉 SiF4, CO2 , CO를 생성하며, 이들 모든 생성물은 주위 챔버 압력을 초과하는 증기 압력을 갖고 있다. 그러나, 포토레지스트(PR)와 SiO2 에칭 생성물 사이의 상호작용은 리액터의 성분 부분을 형성하는 배기 라인의 벽상에 복합 Si 유기물 형성물의 발생으로 유도될 수 있다. 따라서, 배기 라인은 이러한 영향을 감소시키기 위해서 가열되어야 한다.
CF4가 플라즈마내로 도입되는 경우, 반응 에칭 화학 프로세스가 개시되며 이에 의해 플라즈마가 반응 기 종류를 활성화시키며, 보다 좁은 범위에서 이러한 "낮은" RF" 파워 ½ 사이클 동안에 발생된 이온은 기판 코팅 프로세스 및 반응 에칭 화학 프로세스에 도움을 줄 수 있다.
도 1a는 CF4 처리 가스를 플루오르카본 플라즈마내로 도입하는 것을 포함하는 제 1 ½ 사이클의 개략도이다. 도시된 바와 같이, 플루오르카본 플라즈마의 목적은 CF4 를 해리시키고, Si로의 선택성을 개선하는 것으로 고려된 CF2의 생성을 최대로 하는 것이다. 이로 인하여 전체 기판과 그 관련 PR 마스크가 노출된 SiO2 표면 및 PR 마스크상에 흡수되는 CF2의 균일한 층으로 코팅된다. 기판은 척(도시되지 않음)상에 장착되며, DC 자체-바이어스 포텐셜은 척 및 플라즈마에 가해진 RF 신호에 의해 척으로 유도된다. 이러한 RF 바이어스의 변조는 기판의 최적 코팅에 기여하는 DC 자체-바이어스의 대응하는 변조를 생성한다.
CF2 코팅은 높은 방향성의 효과적인 이온 충격에 노출되는 경우 2가지 목적, 즉 (ⅰ) 에칭 선택성을 PR에 제공하기 위해서 PR 마스크를 보호하며, (ⅱ) SiO2 를 에칭하는 것이다. 후자는 플루오르 결함으로 인해 SiO2 대 Si 의 에칭 선택성을 제공한다. 또한, 에칭 프로세스에 의해 형성된 그루브 또는 미세홈의 측벽은 이온 충격의 방향성으로 인해서 최소로 또는 전체가 아니게 에칭된다.
각 제 1 ½ 사이클의 종료시에, CF4 및 그 관련 생성물은 리액터 챔버로부터 배기된다.
도 1b에 도시된 각 제 2 ½ 사이클에 있어서, Ar은 상이한 프로세스의 수행을 위해서 처리 챔버내로 도입된다. 공급원으로 전달된 RF 파워는 공급원내의 고도로 이온화된 Ar 가스 플라즈마 및 높은 이온 전류를 생성하도록 증가된다. 척에 가해진 RF 바이어스 파워내의 대응 증가는 기판 표면에 고도로 활동적인 Ar 이온의 전달을 가능하게 하는데, 즉 이들 이온이 표면에 강력하게 충돌하게 하며, SiO2에 표면 작용을 위한 에너지를 제공한다. 더욱이, 이온 충격은 계속되는 다음 작용 사이클에서 표면 격자 구조체를 손상시킬 가능성이 있으며, 에칭된 표면 오염물을 세정하고, 고도로 이방성의 에칭의 생성에 도움을 준다.
ALE(가스 사이클당 하나의 분자 층을 형성)의 개념과 유사하게, 하나 또는 그 이상의 단층이 사이클당 제거될 수 있다. 따라서, ½ 사이클은 만족스러운 에 칭 속도를 성취하기 위해서 높은 속도로 교대되어야 한다. 예를 들면 통계학적 평균으로 단일 단층이 산화 에칭 방법의 각 사이클 동안에 제거되는 것으로 가정하면, ㎛/분에서의 에칭 속도가 각 프로세스 ½ 사이클의 주기(τ)의 선형으로 역비례인 것으로 추정된다. 이것은 SiO2 결정 격자 단층이 약 3 내지 10Å의 두께를 갖는 것으로 관찰된다. 이것은 통계학적 평균으로 하나의 단층이 프로세스 사이클당 에칭되는 것으로 가정하면 1 내지 3㎛/분의 에칭 속도가 τ 내지 10msec의 알맞은 펄스 주기가 성취될 수 있는 것으로 제시한다. 펄스 주기가 τ 내지 1msec로 감소될 수 있다면, 10 내지 10㎛/분의 에칭 속도를 성취할 수도 있다.
요약하면, 본 발명은 몇몇 프로세스 성분의 일시적인 변조에 의거해서 기판 처리를 위한 방법으로 제공한다. 또한, 가스 종류, 프로세스 타입, RF 공급원 파워 및 RF 바이어스 파워의 일시적인 변조를 통해서, 화학적 반응물질, 웨이퍼에서 반응물질 농도, 이온 밀도, 이온 에너지 및 이온 에너지의 스펙트럼 분포를 포함한 하나 또는 몇몇 파라메터는 에칭 성능, 특히 에칭 선택성을 제어하도록 조정될 수 있다.
가스 종류의 신속한 교체는 몇가지 목적을 제공할 수 있다. 상술한 바람직한 실시예에 있어서, 2개의 가스, 즉 Ar 및 CF4의 각각은 에칭 프로세스에서 상이한 역할을 수행한다. Ar은 이온 충격을 위해 이용되며, CF4는 반응 화학 프로세스의 수행을 위해 이용된다. 물론, 리액터에 접근시에 위치된 억제제의 외측에 순차적으로 도입될 수 있는(즉 개별 가스, 사전혼합된 가스 등등) 상이한 가스의 수의 제한은 없다. 유사하게, 각 가스 종류는 다른 프로세스 파라메터의 선택에 따라서 상이한 프로세스 역할을 수행하며, 상이한 가스가 도입되어 기판상에 상이한 증착 층을 생성할 수 있다.
상술한 에칭 프로세스에서 가스의 교체 또는 변조는 RF 공급원 파워 변조와 직접 연관이 있다. 예를 들면, 공급원은 CF4 가스 분사 동안에 "낮은" 파워에서 구동되는데, 그 이유는 플라즈마가 해리 메카니즘으로서 작동하는 것이 바람직하기 때문이다. 그러나, "높은" 파워는 기판의 충격을 위해 고도로 이온화된 플라즈마를 생성하는 것이 가장 바람직하다. 물론, 용어 "낮은(low)" 및 "높은(high)"이라는 것은 양의 값을 규정하는 것과 관련이 있으며 그 자체에 의해 양의 값을 규정하는 것은 아니다. 그러나, 이것은 특정 프로세스의 상황에서 각 용어에 의해 포함되는 양의 값의 범위를 쉽게 이해할 수 있는 당업자에게 중요하다. 상술한 바와 같이, 플라즈마 공급원에 전달된 펄스형 RF 파형의 형태는 제한되지 않는다. 본 발명의 개념은 가스 교체 파형에 대한 복잡한 진폭 변조 및 위상 변조가 가능하게 한다.
가스 교체 및 RF 공급원 파워 변조와 관련된 것은 척으로 전달된 RF 바이어스 파워의 변조이다. 유사하게, 본 발명의 개념은 다른 프로세스 파라메터에 대해서 척으로 전달된 RF 바이어스 파워의 복잡한 진폭 변조 및 위상을 포함한다. 또한, 기판 처리 동안에 척으로 전달된 RF 신호의 조화 내용의 제어가 있을 수 있다. 상술한 파라메터의 각각의 상세는 후술된다.
하기의 설명은 본 발명을 실시하기 위한 리액터의 구성에 포함된 사항과 관련이 있다. 이들 리액터는 펄스 모드 에칭(pulsed mode etch : PME) 리액터로 설계된다. 특히, 적어도 현재의 기술 상태에서, 가스가 교체될 수 있는 속도와, 플라즈마 밀도 및 자체-바이어스에 의해 이온 에너지가 플라즈마 공급원 또는 척 등으로 전달되는 최대 RF 파워로 변조될 수 있는 속도와 같은 이러한 리액터의 기본적인 제한이 설명될 것이다.
상기 설명에서, 용어 "신속하게(quickly)"는 가스 종류 교체의 속도를 확인하는데 사용되며, 1msec 차수의 ½ 사이클 펄스 폭이 설명된다. 이것은 높은 에칭 속도로 변조를 성취하기 위한 진폭의 소망하는 차수를 나타낸다. 본 발명에 따른 펄스 모드 처리에 관련이 있는 모든 파라메터 중에, 상이한 가스 종류가 리액터 챔버내에서 교체될 수 있는 속도는 성취가능한 에칭 속도에 있는 기본적인 제한이다.
에칭 속도를 최대화하는 하나의 접근 방법은 리액터 챔버 용적을 최소화하고, 리액터 가스 유동 컨덕턴스를 최대화하는 것이다. 이러한 요지는 이후에 상세하게 설명된다. 그러나, 실용적인 기판 처리를 제공하기 위해서, 즉 플라즈마 화학작용, 에칭 화학작용 등의 요구조건을 만족시키기 위해서 이들 파라메터상에 위치된 최소의 제한이 있다. 또한, 가스가 챔버를 벗어나 펌핑될 수 있는 속도에 최대 제한이 있다. 예를 들면, 현재 입수가능한 가장 큰 펌핑 용량은 특정 터보 분자 펌프에 의해서 제공된 약 5000리터/초이다. 다른 접근 방법은 3300리터/초로 펌핑할 수 있는 것과 같은 몇몇 보다 낮은 용량 펌프를 제공하는 것이다. 그러나, 이들 펌프중 단지 적은 수의 펌프만이 리액터 챔버에서 유용한 펌핑을 제공하도록 배열될 수 있다.
하기에는 가스 펌핑의 유체 기계적 조건을 설명하며, 종래의 챔버를 위한 유용한 가스 교체 속도를 확인하며, 상기 챔버는 플라즈마 공급원 및 현재의 기본적인 부품, 즉 척, 분사 플레이트, 펌프 등의 대략적인 사이즈에 대해서만 종래의 것이다.
고려사항은 낮은 압력에서 만나게 되는 중립 유동 조건에 제공되어야 한다. 여기에서, 낮은 압력은 1 내지 1000mTorr 범위의 챔버 압력인 것으로 추정될 것이다. 그러나, PME 리액터의 작동은 이러한 압력의 범위로 제한되지 않는다. 이들 낮은 챔버 압력에서, 가스의 거동은 자유 분자 유동의 특성을 나타내기 시작하며, 모멘트 전달을 위해 내비어-스토크(Navier-Stokes) 방정식에 따르는 연속 유동으로서만 더 이상 작동하지 않는다. 가스가 자유 분자 유동 또는 연속 유체로서 반응하는 범위를 결정하기 위해서, 유동장에서 평균 자유 경로대 경사도 길이 스케일의 비율을 단순히 나타내는 쿠드센 넘버(Knudsen number : Kn)를 평가해야 한다. 경사도 길이 스케일은 특성 자유 전단 층 두께 또는 특성 경계 층 두께일 수 있다. 그러나, 이것은 유동장인 통상적인 각 기하학에서 취한 특성 길이 스케일로 일반적으로 대체된다. 여기에서, Kn의 정의는 Kn=λ/L이 되며, 여기에서 λ는 평균 자유 경로이며, L은 유동장의 특성 길이이다.
자유 분자 유동의 개시의 해석은 하나의 길이 스케일을 평균 자유 경로와 비교하도록 선택되는 것에 따라서 좌우된다. 통상적으로, 1보다 큰 쿠드센 넘버의 값은 분자 유동의 개시를 확인하기 위한 척도로서 이용된다. 예를 들면, 10mTorr의 챔버 압력에서, 평균 자유 경로는 대략 0.5㎝이다. 챔버의 특성 치수와 비교할 때, 쿠드센 넘버는 1보다 크지 않다. 그러나, 오리피스 직경과 비교할 때, 쿠드센 넘버는 1보다 크다. 따라서, 자유 분자 유동을 위한 조건은 해석의 "스케일"에 따라 좌우된다.
제 1 차수대 평균 자유 경로가 압력의 역으로서 변화되기 때문에, Kn은 압력과 특성 길이 스케일과 반대로 변화된다. 우선적으로, 전체적인 Kn은 "전체적인" 또는 큰 스케일 가스 역학을 규정하는 리액터의 유효 직경에 의거해서 유도될 것이다. L~20㎝ 의 경우에, Kn은 1 내지 1000mTorr 의 상술한 챔버 압력 범위에서 0.00025와 0.25 사이에서 변화한다. 그러나, 보다 작은 스케일, 즉 가스 분사 오리피스(오리피스 직경과 비교할만한 스케일)의 하류 또는 기판에 근접한 가스 역학을 고려하는 경우, Kn을 재평가해야 하며, Kn을 적당한 길이 스케일(유동의 거시적인 특성이 비교하는 경사도 스케일)로 국부적으로 규정한다. 예를 들면, 10mTorr의 압력에서, 전체적인 Kn은 대략 0.025가 되며, 오리피스 출구 평면에서 Kn은 500sccm의 질량 유량을 위해 대략 차수 0.1일 수 있으며, 오리피스(~1㎝)의 짧은 하류의 Kn의 정의는 차수 5일 수 있다. 일반적으로, 1보다 큰 Kn의 값은 자유 분자 유동 기간을 나타내며, 0.1보다 작은 Kn의 값은 연속적인 유동 기간을 나타낸다. 많은 플라즈마 보조 프로세스는 전이 기간 0.01<Kn<1(적어도 전체적인 스케일에서)에서 발생되며, 여기에서 중립 유동 역학은 대부분의 저압 프로세스를 매우 복잡하게 할 수 있다.
각기 분자 가스 역학 미 연속적인 유체 역학의 볼츠만(Boltzmann) 수학식 해법(Direct Simulation Monte Carlo : DSMC를 이용함) 및 내비어-스토크(Navier-Stokes) 해법을 설명함이 없이, 유익한 통찰은 한정 경우, 즉 Kn→(무한대) 및 Kn→0(또는 실제로 Kn>>1 및 Kn<<1)의 단순한 제 1 차수 분석에 의해 이뤄질 수 있다. Kn<0.01 일 경우에, 가스는 연속적인 유동으로서 작용하며, 그 운동은 내비어-스토크(Navier-Stokes) 방정식에 의해 제어된다. 그러나, 내비어-스토크(Navier-Stokes) 방정식을 저압계에 적용하는 것은 Kn~0.1까지 일반적으로 적용가능하다. 1994년 옥스퍼드 클라렌돈 출판사에 의해 간행된 것으로 버드 지 에이가 쓴 가스 역학 및 가스 유동의 직접 시뮬레이션을 참조한다. Kn이 0.01의 값 이하로 더 감소되는 경우, 유동은 비스코스 층의 외측의 등엔트로피가 되며, 오일러 방정식[비스코스 응력을 제외한 내비어-스토크(Navier-Stokes) 방정식의 감소된 형태]으로 처리될 것이다.
유동장이 챔버 압력이 일정한 값(또는 한편으로 연속적인 가스 펄스가 챔버 압력이 불변으로 유지되도록 되어 있음)으로 되는 경향이 있는 주기에 걸쳐서 일정하게 되는 것으로 추정된다면, 다음에 질량 유량은 시스템으로부터 배기되는 유동 속도가 평형으로 되어야 한다. 즉, 리액터 체적을 둘러싸도록 제어 체적을 규정한다면, 챔버에 들어가는 질량 유량 ρ*u*A* t 은 챔버를 벗어나는 질량 유량 ρcS 과 평형을 이뤄야 하며, 여기에서 ρ*는 챔버에 유입되는 가스 밀도이며, u*는 챔버 입구에서의 가스 속도이며, A* t 는 전체 오리피스 면적(A* t = NA*; N = 오리피스 개수, A* = 오리피스 면적)이며, ρc는 챔버 가스 밀도이며, S는 배기 평면에서의 챔버 펌프 속도이다.
처리 챔버의 배기 평면에서의 펌프 속도(S)는 대략 S=(CSinlet)/(C+Sinlet)가 되는 것으로 추정되며, 여기에서 C는 펌프 입구와 챔버 배기 평면 사이의 유동 컨덕턴스(챔버 기하학적 형태와 이들 2개 지점 사이의 압력에 따라 좌우됨)이며, Sinlet 는 펌프 입구에서의 펌핑 속도, 즉 입구 압력에 따라 좌우되는 펌프 입구에서의 체적 유동 속도이며, C, S 및 Sinlet 의 단위는 모두 단위 시간당 가스 체적이다 예를 들면 도 14b에서, 챔버 배기 평면은 분사 플레이트(18')의 평면이며, 펌프 입구 평면은 라인(21)으로 표시되어 있다. 대표적인 효율 곡선(즉, E=S/Sinlet)이 도 3에 도시되어 있다. 연속적인 한계에서, 평균 압력 영역이 챔버를 통해 연속적인 펄스를 "푸쉬(push)"한다.
도 4에는 챔버에 들어가는 질량(시간의 펄스 길이 τ, 즉 ½ 사이클) 대 소정의 챔버 압력에서 챔버내를 빠져나가는 질량의 비율이 도시되어 있다. 비율이 1의 값이거나 또는 이보다 적은 조건은 연속적인 한계에서 임계적인 가스 교체 속도를 규정한다. 도 4에는 2개의 집단의 곡선이 도시되어 있으며, 그중에 실선으로 도시된 하나는 35㎝의 기본 직경, 62㎝ 상부 직경 및 17㎝ 챔버 높이(H)의 치수를 가진 대형 반원추형 챔버에서의 가스 교체를 위한 비율 값을 도시한 것이며, 점선으로 도시한 다른 하나는 곡선의 제 1 설정과 관련된 것보다 작은 2개의 인자를 가진 치수의 소형 반원추형 챔버에서의 가스 교체를 위한 비율 값을 도시한 것이다. 도 4는 입구 질량 유량에 따라서 최소 가스 펄스 폭(τ)이 30%까지 변동될 수 있다. 이러한 질량 유량 변동은 보다 높은 압력에서의 펌핑 효율을 개선하는데 간단히 기여한다. 보다 작은 챔버에서, 6인치 기판을 처리하기 위해서, 최소 펄스 시간은 1.5msec 정도로 짧을 수 있다. 챔버에서 가스 교체 비율을 개선하기 위해 가스 분사를 조정하는 것을 후술한다.
한계 Kn>>1에서, 분자는 배기 평면으로 챔버를 통해 이동할 것으로 예상되며, 벽 충돌은 그 이동의 방향을 나타낸다. 즉, 그 평균 자유 경로는 챔버 기하학적 형태에 비해서 크다. 가스 분자는 이것이 챔버를 통해 충돌없이 기판으로 이동하는 경우, 특히 기판 표면과 충돌하고 그리고 챔버를 벗어나는 배기 평면으로 되튀는 경우에 가장 짧은 시간을 갖는 것으로 예상된다. 이러한 상황이 도 2b에 도시되어 있다. 이렇게 가정하면, 가스 분자의 최소 잔류 시간은 대략 2H/A 이며, 여기에서 H는 챔버 높이이며, a는 실온에서 소리의 속도이다. 이것은 상기에 규정된 보다 작은 챔버에 대해서 0.6msec의 계산된 최소 잔류 시간(τ)을 제공한다. 잔류 시간을 결정하기 위해서, 잔류 시간에 대한 가능성 분포 함수(probability distribution function : PDF)를 결정하기 위해서, 즉 DSMC 접근법을 이용하여 몇몇 입자의 경로를 추적할 필요가 있으며, 여기에서 "몇몇(several)" 입자는 통계학적 평균 사건을 구하기에 충분한 수자를 가리킨다. 평균 잔류 시간은 대략 연속적이거나 더 큰 시간 스케일일 수 있다.
그러나, 상술한 설명은 플라즈마의 복잡한 특징을 포함하고 있지 않다. 플 라즈마의 존재시에, 중립 유동상의 몇몇 제 1 차수 효과가 관찰될 수 있다. 플라즈마의 하나의 중요한 특징은 이온 중립 펌핑일 수 있으며, 이온 반송은 챔버내의 중립 반송에 직접적으로 및 간접적으로 영향을 미칠 수 있다. 우선, 예를 들면 RF 파워 인가를 거쳐서 이온화가능한 가스의 여기에 의해 생성된 이온은 플라즈마로부터 경계 표면까지 연속적으로 변화되는 플라즈마 전위 구배에 직접 비례하는 정전기력의 인가하에서 벽으로 유동한다(제 1 전위 구배는 외장을 가로질러 발생한다). 벽과 충돌시에, 이온은 벽에서 중립 종류를 형성하도록 전자와 재결합된다. 따라서, 중립 입자는 이들이 재결합될시에 정전기력을 거쳐서 벽으로 효율적으로 이온화되고 운반된다. 둘째, 이온이 벽으로 운반될 때, 이들 이온은 외측 유동 모멘트를 현재 중립 입자로 교체할 수 있으며, 따라서 이들 입자를 외측으로 재배향하거나, 기본적으로 중립 입자를 펌핑한다. 그러나, 양자의 펌핑 효과의 중요성은 챔버 압력, 보다 중요하게는 평균 자유 경로에 직접적으로 관련이 있다. 이러한 효과는 크게 간략화되며, 이온화, 해리, 재결합, 가스 가열, 벽 흡수, 벽 중합반응 등의 영향을 도입하는 플라즈마의 복잡성에 바로 하나의 영향을 준다.
중립 유동에 결합된 플라즈마의 복잡한 특징은 특별히 잔류 시간에 영향을 미칠것이며, 이상적인 경우로부터 이것을 증가시킬 가능성이 있다. 그러나, 상술한 교체 속도는 적어도 유용한 최소 가능한 잔류 시간을 제공한다는 의미에서 단순한 물질에 의거하여 적당한 평가일 수 있다. 요약하면, 종래의 챔버는 차수 τ~10msec의 가스 교체 속도를 성취할 수 있다. 진공 설계가 고속 배향 가스 분사를 위해 예상된 프로세스 체적 및 방법의 주변 표면에서 펌핑 속도를 최대화하도록 맞춰지면, 1mesc(그리고 가능한 서브-msec) 성능을 성취할 수 있다.
상술한 바와 같이 가스 분사 시스템이 가스 펄스를 생성하기 위한 것이라면, 각 가스 종류가 도입되는 동안의 주기(τ)인 대략 1msec 길이는 이러한 기간을 가지며, 가스 분사 시스템의 사이클 작동의 주파수(f=½τ)는 500㎐이다. 가스 분사 시스템상의 이러한 요구는 플라즈마 실험을 위한 초음파 펄스 가스 분사의 분야에서 성취되는 결과에 비추어 매우 실질적이지만 실행가능하다.
이러한 능력을 가진 펄스형 가스 분사 시스템의 설계는 저압 환경에 들어가는 연속적인 및 펄스 가스 시스템, 가스 펄스 작동의 방법 및 가스 값 설계의 원리의 가스 역학의 고려사항이 필요하다. 하기의 설명은 가스 분사 시스템의 바람직한 구성 형태와 주로 관련이 있지만, 선택적인 해결책도 포함하고 있다.
우선 연속적인 유동 분사 시스템의 가스 역학에 견딜 수 있고, 다음에 이러한 이해의 가능성을 펄스 가스 분사 시스템의 불안정한 역학에 적용하는 것을 고려하는 것이 적당하다. 우선, 가스가 분사되는 저압 환경은 대략적이지만 제한되지 않는 1<Pc<1000mTorr 에 걸쳐있고, 공급원 전체 압력(Pt)은 0.1<Pt<100Torr 의 범위에 걸쳐 있다. 전체 압력은 분사 플레이트 뒤에 놓여 있는 가스 플리넘내의 가스의 정체 압력, 즉 가스 속도가 등엔탈피적으로 제로로 감소되는 경우의 가스 압력이다. 용어 전체 압력은 통상적으로 정압 및 동압의 합을 말한다. 이들 압력 범위에 걸쳐서, 가스 역학은 국부적 압력상의 쿠드센 넘버의 종속관계 그리고 또한 상술한 바와 같이 연속적인 유동으로부터 비교적 큰 Kn의 시퀀스인 자유 분자 유동 까지의 결과적인 전이로 인해 중요하게 변경될 것이다.
상술한 압력의 상단부(Pt>~10-100Torr)에서, 분사 오리피스(직경 0.5㎜)를 통한 가스 유동은 충분한 충돌수와 조우하며, 유동은 연속적인 방법으로 나타나며, 내비어-스토크(Navier-Stokes) 방정식을 이용하여 잘 표시될 것이다. 또한, 쿠드센 넘버는 충분히 크며, 연속적인 오리피스 유동의 면적은 등엔탈피로 간주될 수 있으며, 그 결과 내비어-스토크(Navier-Stokes) 방정식의 감소된 형태, 즉 오일러 방정식에 따른다.
이들 조건이 존재하는 경우 그리고 오리피스에 걸쳐서의 압력 비율, 즉 입구 전체 압력대 오리피스의 출구를 지나서의 주변 압력의 비율은 충분히 큰 경우, 오리피스는 "막힘(choked)" 상태에 도달한다. 막힘 상태에서, 체적 유동 속도는 배압(본 기술 분야에 잘 공지된 용어), 이러한 경우 챔버 압력의 감소 또는 입구 전체 압력의 증가로 불변된다. 실제로, 오리피스를 통한 질량 유량은 입구 전체 압력을 증가시킴으로써 가스 밀도에 영향을 미치게 하여 더 증가될 수 있다. 물론, 이것은 스로트 또는 오리피스를 교체하면 면적을 유지할 수 없는 것으로 추정된다.
오리피스가 막힌 경우, 마하 수(Mach number)(소리의 국부적 속도 대 소리의 국부적 속력의 비율)는 오리피스 스로트(가장 작은 단면적)에서 1의 값을 취하며; 일정한 면적의 오리피스에 있어서 스로트는 마찰 효과로 인해서 오리피스 출구 평면에 형성된다. 일단 유동이 스로트에서 음속이 되면, 유동은 면적의 증가가 나타나는 경우 초음속 속도(M>1)로 가속된다(면적 확대 동안에 감속되는 아음속 유동과 다름).
도 5는 발산 노즐에 들어가는 일정한 면적 오리피스를 포함하는 분사 오리피스의 개략도이다. 도 5는 공급원 전체 압력(Pt), 오리피스 스로트 압력(P*), 오리피스 출구 압력(Pe) 및 챔버 압력(Pc)을 포함하는 다양한 압력계 정의를 위한 위치를 나타내고 있다. 일정한 면적 오리피스(즉, 발산 부분이 없음)에 있어서, 스로트 압력은 출구 압력과 동등하다.
초음속 오리피스 또는 노즐의 설계를 위해 중요한 추가적인 파라메터는 스로트 종횡비이다. 종횡비는 스로트 직경(최소 단면적)에 대한 최소 단면적의 부분의 길이와 관련이 있다. 일반적으로, 1보다 작고, 바람직하게 1보다 크지 않은 종횡비를 갖는 것이 바람직하다. 도 5, 도 8, 도 9 및 도 12는 이러한 설계 접근 방법을 도시한 것이다. 가스 펄스 작동기는, 작동기와 팽창 오리피스 사이로 연장되는 부분이 스로트 직경보다 큰 직경인 한에서는 팽창 오리피스/노즐로부터 일정 거리에 위치될 수 있다. 그러나, 이러한 부분을 보다 길게 하면 길게할수록 가스 분사 지연 시간이 보다 크게 된다.
일정한 면적 분사 오리피스를 따라서 마하 수 및 정압 변동의 일 예가 각기 도 6a 및 도 6b에 도시되어 있다. 명료하게, 가스는 이러한 팽창 동안에 정압에서의 대응하는 강하와 더불어 분사 길이의 최종 20% 이상으로 음속 조건(M=1)으로 가속된다. 일정한 면적 오리피스와 관련된 상태하에서, 가스는 진공 챔버내의 오리피스의 하류의 몇몇(5 내지 10) 오리피스내에서 연속 유동으로부터 자유 분자 유동 까지의 갑작스런 전이를 겪게 된다.
일반적으로, 공급원 전체 압력 대 챔버 압력의 비율은 크기의 1 내지 2 차수까지 임계 압력 비율을 초과한다. 따라서, 일정한 면적 오리피스를 위한 스로트 압력 또는 출구 압력은 주변 챔버 압력보다 상당히 크다. 통상 이것은 팽창하 상태라고 한다. 출구 압력이 주변 챔버 압력과 동일한 압력-조화 상태를 성취하기 위해서, 적절하게 설계된 면적 확대부 또는 발산 노즐이 이용될 수 있다. 이러한 상태는 저압 환경에 들어가는 균일한 배향 가스 제트의 특성을 나타낼 것이다. 그러나, 발산 노즐이 이용되는 경우, 가스 팽창으로 인해 Kn 이 다시 상승하게 된다. 따라서, 전이 유동 효과를 관찰할 수 있다.
상술한 압력 범위(0.5<Pt<10Torr)를 통한 중간에서의 점도는 오리피스를 통한 가스 유동에서의 성장 역할을 수행하며, 궁극적으로 등엔탈피로서 처리될 수 있는 유동계내에 영역이 존재하지 않는다. 보다 낮은 압력에서, 오리피스내에서 발생된 충돌은 가스 유동이 자유 분자 유동의 성질을 나타낼 수 있는 범위까지 보다 희귀하게 될 것이다. 다음에, 연속적인 의미에서 미시적인 특성은 유동의 성질을 더 이상 적절하게 개시할 수 없다.
요약하면, 가스 분사의 조건은 보다 높은 압력에서 잘 이해되며, 연속적인 성질 그리고 이상적으로 등엔탈피의 연속적인 성질의 가정이 이뤄진다. 특히, 보다 높은 질량 유량 속도가 성취되는 경우에, 보다 높은 공급원 전체 압력, 즉 500 내지 1000sccm 그리고 이보다 높은 압력을 얻을 수 있다. 이러한 상태하에서 작동 시키는 고유의 이점은 기판에 충돌포집시에 접착되는 것으로 고려될 수 있는 고배향성 가스 제트의 생성이다. 더욱이, 이것은 출구 평면에서 자유 분자 유동으로 전이되도록 설계될 수 있다. 이러한 성질의 가스 분사 설계는 보다 큰 전이 속도를 성취할 수 있고, 챔버 가스 교체 속도를 감소시킬 수 있다.
상술한 설명은 고압 가스 분사의 몇몇 이점을 설명한 것이다. 실제로, 저압 처리와 조화되어 사용되는 고압 가스 분사는 일반적으로 높은 질량 유량 또는 출력이 요구될 것이다. 따라서, 프로세스 리액터의 배기 평면 또는 웨이퍼 평면에서의 펌핑 속도를 적합하게 하고 그리고 긍극적으로 증가시키는 것이 바람직하다. 이것은 펌프 입구와 프로세스 체적 사이의 큰 터보 분자 펌프 및 양호한 진공 설계로 실행될 수 있다. 또한 개선된 펌핑 방법이 개발될 때 더 개선이 이뤄질 수 있다.
이러한 점까지, 단지 연속적인 오리피스 유동, 즉 정상 유동이 고려된다. 그러나, 펄스 가스 분사의 개념이 여기에 도입된다. 보다 높은 압력에서, 오리피스 유동이 등엔탈피인 연속 유동으로서 나타나는 경우에, 최소 펄스 시간은 정체 상태로부터 음속 상태까지 가스를 가속하는데 필요한 시간과, 팽창을 겪게 되는 충분한 개수의 분자의 영역을 설정하는데 필요한 시간과, 가스 및 펄스 밀도가 펄스의 개시 및 종료시에 속도 분포에 의해 영향을 받지 않는 충분히 긴 시간의 합을 초과해야 한다[1981년 제 75 권 제 5 호의 제이 케이칼 피직스(J. Chem. Phys.)의 생거 케이 엘이 쓴 "펄스 분자 비임: 완전히 개발된 초음속 팽창을 위한 펄스 기간의 낮은 제한"을 참조]. 예를 들면 Pt ~ 200Torr에서, 최소 펄스 시간은 차수 10㎲ 이다. 이것은 1 내지 10msec 이하면 충분하다.
소망 펄스 폭 및 펄스 주파수를 가질 필요가 있는 이러한 분사 시스템을 위한 가스 펄스를 작동시키는데 현재 유용한 몇몇 방법이 있다. 몇몇 예는 캐패시터가 "Rev. Sci. Instrum"(1978년) 제 49 권 제 5 호 1888페이지의 "10㎲ 펄스 분자 비임 공급원 및 빠른 이온화 검출기"라는 명칭의 "Gentry & Giese"에 개시된 바와 같이 급속하게 방전되는 전자기 밸브와; "J. Vac. Sci. Technol. A"(1987년) 제 5 권 제 1 호에 켄달이 쓴 "잔류 가스 분석의 온라인 조정을 위한 펄스 가스 분사"와, "Rev. Sci. Instrum"(1980년) 제 51 권 제 8 호에서 오티스와 존슨이 쓴 "초음속 노즐 기기에 사용하기 위한 간단한 펄스 밸브"와, "Chem. Phys. Lett. 제 60 호의 364페이지(1979년)에 베른 등이 개시한 바와 같이 유사한 목적을 위해서 변경된 자동 연료 분사기 밸브와; "Rev. Sic. Instrum." 제 53 권 제 1 호의 38페이지(1982년)에 "크로스와 벨랜티니가 쓴 "높은 반복 속도 펄스 노즐 비임 공급원"과, "Rev. Sic. Instrum." 제 55 권 제 6 호(1984년)에서 베이트와 버렐이 쓴 "플라즈마 물리 실험을 위한 빠른 가스 분사 시스템"에 개시된 바와 같이 압전 밸브의 사용하는 것이 있다.
전자기 밸브는 1 내지 10㎐ 정도로 높은 펄스 반복 속도(pulse repitation rates : PRR)를 가진 10 내지 100㎲ 정도로 짧은 펄스 기간을 성취할 수 있다. 그러나, 이들 밸브는 일반적으로 고려할만한 RF 노이즈를 생성하고, 고전류 레벨로 전환하는 복잡한 고전압 파워 서플라이를 요구하며, 짧은 수명을 갖고 있다.
시중에서 입수가능한 자동차 연료 분사기 밸브는 사용하기에 보다 간단하지 만, 50㎐ 까지의 PRR을 가진 보다 느리다. 또한, 변형된 자동차 연료 분사기 밸브(펄스 분자 비임을 위해 사용됨)는 몇백 ㎑를 초과하는 PRR을 성취할 수 있다. 본 설명에서 상술한 전자기 밸브는 빈약한 듀티 사이클(또는 PRR)을 가진 펄스를 위한 보다 짧은 시간 기간을 성취할 수 있다. 대략 50%의 듀티 사이클이 본 발명에 다른 프로세스에 바람직하기 때문에, 펄스 폭 또는 기간을 구해야 한다. 따라서, 시중에서 입수가능한 자동차 연료 분사기 밸브 기술에 의거한 분사기 밸브가 바람직한데, 그 이유는 이러한 밸브가 미립자 생성을 최소로 하여, 50% 듀티 사이클로 높은 PRR을 성취하고, 저전압 파워 서플라이가 요구되기 때문이다.
전형적으로, 종래 기술에 있어서의 압전 밸브는 200㎐보다 큰 반복 속도와, 3msec 보다 작은 펄스 폭으로 펄스형 방법으로 작동될 수 있다. 각기 0.1과 0.25msec 배수의 가스 펄스 상승 및 강하가 구해질 수 있다. 상기에서 참조한 베이트와 버렐은 초음파 제트를 생성하기 위해서 가스 튜브를 구비한 표준 Veeco PV-10 압전 밸브를 이용한다. 실제로 상기에서 참조한 크로스와 발렌티니에 의해 보고된 밸브는 10㎲로 짧은 펄스 폭을 가진 750㎐의 PRR에서 지정된다.
도 8은 펄스를 모니터하기 위해 압전 밸브, 가스 입구 라인, 분사 노즐 및 마이크 또는 압력 변환기를 구비한 예시적인 분사 시스템을 도시한 것이다. 처리 챔버는 다수의 이러한 시스템을 구비할 수 있으며, 각 시스템은 도시된 바와 같이 고압 가스 서플라이 라인을 구비할 수 있다. 각 가스 서플라이와 그 관련 분사 시스템 또는 시스템들 사이에는, 공급원 압력 또는 질량 유량을 조정하기 위한 높은 반응 압력 조절기와, 질량 유량 센서(도시하지 않음)를 구비할 수 있다. 이들 성 분은 처리 챔버 외부에 있다.
각 분사 시스템에 있어서, 가스 라인은 가스 차폐체에 의해 밀폐된 작은 밸브 플리넘을 제공하며, 이 플리넘은 밸브 작동에 따라 작은 분사 포트에 간헐적으로 노출된다. 마이크, 압력 조절기 및 질량 유량 센서는 모두 컴퓨터에 의해 모니터링되며, 이 컴퓨터는 피드백을 거쳐서 펄스 속도 및 질량 유량을 제어한다. 밸브 장치는 분사기 밸브 또는 상술한 밸브 형태중 다른 하나와 같은 전자기 밸브일 수 있다. 가스 펄스가 저압 챔버내로 팽창되기 전에 그 내로 이동되는 가스 라인은 잔류 시간을 최소화하기 위해 짧은 길이와, 층류를 보장하기 위해 작은 직경을 가져야 한다. 이러한 라인에서 가스 펄스를 위한 전형적인 잔류 시간은 2㎝ 라인에 대해서 0.08msec이며, 이것은 라인의 길이를 따라 국부적인 가스 속도의 역수를 적분함으로써 결정된다. 보다 일반적으로, 라인은 0.5㎝의 차수의 대응하는 직경을 가진 0.5 내지 2㎝의 길이와, 0.2 내지 1㎜의 종횡비를 가진 0.5 내지 1㎜의 오리피스 직경을 가질 수 있다.
전형적으로, 플라즈마 처리 챔버는 교호적으로 도입될 2개 또는 그 이상의 가스의 적당한 분포가 이뤄지도록 배열되어야 하는 이러한 몇몇 밸브 조립체와 관련된 분사 플레이트를 구비할 것이다. 2개의 별개의 밸브를 이용하는 2개의 가스의 교체에 있어서, 각 밸브는 서로 위상을 달리하여 180°로 개방 및 폐쇄될 수 있다.
또한, 2개의 가스 밸브는 도 9에 도시된 바와 같이 단일 가스 분사 포트에 결합될 수 있으며, 별개의 밸브 및 밸브 플리넘은 각 가스(A, B)를 위해 제공된다. 또한, 도 9는 2개의 가스(A, B)의 유동을 제어하기 위해 밸브에 제공된 개방 및 폐쇄 제어 신호의 파형을 더 도시하고 있다.
가스 분사의 제 2 대안은 그 주변으로부터 분사 플레이트에 접근하는 것이다. 이러한 경우에, 가스 펄스 밸브는 플레이트 둘레의 가변 원주방향 위치에서 위치되며, 가스는 기계가공된 패널을 거쳐서 플레이트의 내부로 분포된다. 이러한 접근 방법은 분사 플레이트의 상부에 접근하는 일부 장애물이다. 이러한 장치를 구비한 문제점은 가스 펄스 개시로부터 분사 출가까지의 시간이 길어지게 되고, 그에 따라 분사 라인의 입구로부터 출구까지의 가스 잔류 시간이 펄스 시간과 동일하거나 펄스 시간보다 길이지게 된다는 것이다. 저압 챔버에 들어가는 펄스의 타이밍을 일부 제어하기 위해서, 2개의 가스의 펄스는 가스 펄스의 "트레인(train)"을 생성하도록 동일한 분사 라인으로 선택적인 시간에 도입된다. 최악의 경우, 상이한 가스(A, B)의 펄스는 펄스 개시와 펄스 입구 사이의 일부 시간 지연이 계속된다. 하나의 이점은 특히 가스 특성, 즉 특정 가열의 가스의 일정한 비율 등이 유사하다면 가스 트레인이 연속적인 가스 유동을 모사한다.
이러한 접근 방법일지라도, 분사 라인의 길이는 각 가스 펄스의 일관성에 중요하다. 즉, 이동 거리를 보다 길게 하면 할수록 인접한 펄스내의 가스 종류 사이의 확산이 보다 크게 된다. 2개의 가스 펄스가 분사 라인내로 연속적으로 도입되어 2개의 펄스가 서로 연속적으로 개시된다면, 2개의 펄스내에 포함된 가스는 서로의 내부로 점진적으로 확산될 것이다. 2개의 펄스의 가스가 서로의 내부로 확산되는 것에 따른 가스 유동의 방향의 거리는 가스 펄스 확산 길이로서 공지되어 있다. 이러한 확산에 부가하여, 각 가스 펄스의 공간 길이는 분사 라인으로부터 발생될 때까지 분사 라인내에서 나타나는 가속유동으로 인해서 점진적으로 증가한다. 상술한 바와 같이, 이들 분사 유동은 난류가 아니며, 그에 따라 이동이 분자 확산에 의해서만 이뤄진다. 그러나, 분자 확산 계수는 특히 챔버로의 입구 근방에서 중요한데, 그 이유는 이 계수가 압력에 반비례하기 때문이다. 이러한 압력은 도 6b에 도시된 바와 같이 가스가 팽창할 때 강하된다.
분사 라인을 따라 거리(x)에 대해서 가스 펄스 확산 길이(δ)의 증가의 예가 도 10에 도시되어 있다. 초기의 길이(δ)는 증가되는 경계층과 유사하게 증가한다. 그러나, 이것은 분자 확산 계수가 상당히 증가되는 경우 단부쪽으로 극적으로 변화된다. 보다 큰 질량 유량 또는 보다 짧은 잔류 시간에 있어서, 상대 확산 길이(δ/ℓ)(여기에서 ℓ은 각 가스 펄스의 현재 공간 길이임)는 도 11에 도시된 바와 같이 5%와 같은 허용가능한 한계하에서 유지될 수 있다.
요약하면, 적당한 조건하에서 ALE 문헌에서 실행된 작업과 조화되어 가스 "트레인" 접근을 이용하여 대략 몇 msec 펄스 폭을 가진 점착성 가스 펄스를 생성할 수 있다.
제 2 대안과 유사하게 제 3 대안은 예를 들면 CF4와 같은 제 2 프로세스를 위한 캐리어 가스로서 Ar 가스 스트림을 이용하는 것이다. 즉, Ar 가스 스트림은 이 스트림내로의 CF4 가스 펄스의 간헐적인 분사와 함께 챔버로 연속적으로 유동한다. 이 경우에, Ar 가스는 챔버내에 항상 존재하며, CF4는 이격된 시간 주기 동안 에 챔버내의 Ar과 혼합된다.
가스 분사를 위한 제 4 대안이 도 12에 도시되어 있다. 이것은 작동/배기 형태 분사 시스템에 관한 것이며, 여기에서 가스(A, B)는 각 입구 라인내로 연속적으로 유동되며, 활주 밸브는 2개의 가스 스트림을 적절하게 재배향하도록 2개의 단부 위치 사이에서 진동한다. 활주 밸브가 도 12에 도시된 바와 같이 먼 좌측 단부 위치에 있는 경우에, 가스(A)는 배기되고, 가스(B)는 챔버내로 분사된다. 반대로, 활주 밸브가 먼 우측 위치에 있는 경우에, 가스(A)는 챔버내로 분사되고, 가스(B)는 배기된다.
도 13은 본 발명의 일 실시예에 따른 처리 챔버로의 가스 펄스의 전달을 제어하기 위한 시스템을 도시하는 개략도이다. 2개의 가스(A, B)는 가스 저장소의 각 부분내에서 고압하에서 저장되며, 가스는 각 저장소 부분으로부터 다수의 압력 조절기까지 공급된다. 각 조절기로 공급된 가스는 질량 유량 센서를 통상 포함하는 각 질량 유량 제어기를 통해서 각 가스 분사 시스템의 관련 입구로 전도된다. 이러한 실시예에 있어서, 각 가스 분사 시스템은 도 8에 도시된 바와 같이 압력 변환기에 의해 공급되는 것으로 도 9에 도시된 형태를 취할 수 있다. 컴퓨터 제어 시스템은 모든 질량 유량 센서로부터의 질량 유량 신호 및 모든 가스 분사 시스템으로부터의 압력 신호를 수신하도록 연결된다. 또한, 제어 시스템은 압력 조절기의 각각의 압력 설정을 제어하고 그리고 각 가스 분사 시스템내의 밸브의 개방 및 폐쇄를 제어하도록 연결된다. 제어 시스템은 본 기술 분야에 공지된 원리에 따라 구성 및 프로그램화되어, 조절기의 출구에서의 소망 가스 압력을 유지하고 그리고 가스 분사 시스템 밸브를 적당한 주파수 및 위상 관계로 작동시킬 수 있다. 제어 시스템이 가스 분사 시스템내의 압력 변환기로부터의 압력 정보를 수신하기 때문에, 가스의 질량 유량 속도의 피드백 제어와 가스 펄스 속도가 성취될 수 있다.
도 9에 도시된 바와 같이, 가스 유동은 사각형 파형 함수에 따라 변조될 수 있다. 그러나, 상술한 바와 같이, 가스 유동은 주기적 램프 또는 사인곡선 함수를 나타내는 파형에 따라 변조될 수 있다. 제어된 램프 함수는 램프 함수에 비슷한 일련의 증분 단계로 유량 속도를 변화시킴으로써 생성될 수 있다. 이것은 램프 함수의 성질과 관련이 있는 형태로 질량 유량 제어기를 단계화하거나, 초음파 분사를 위한 조정가능한 스로트 영역일 수 있는 가스 분사 오리피스의 개방 또는 폐쇄하는 단계에 의해 실행될 수 있다. 전자의 경우에, 각 단계의 시간 기간은 100msec를 초과할 수 있다. 램프 함수를 생성하기 위한 추가적인 수단은 느린 반응 EM 또는 압전 밸브를 사용할 수 있으며, 여기에서 소정의 펄스를 위한 상승 시간은 차수 1 내지 100msec일 수 있다. 이러한 접근 방법은 덜 제어되는 단점을 갖지만, 실행하기에 상당히 단순할 수 있다. 유사한 접근 방법은 사인곡선 응답(즉, 슬로피(Sloppy) "사각형" 파형)에 대해서 취할 수 있다.
가스 펄스 작동을 위한 몇몇 접근 방법이 있지만, 도 5, 도 8 및 도 9에 도시된 것과 같은 다수의 가스 분사 시스템을 이용하는 것을 포함하는 제 1 해결책과, 도 12에 도시된 것과 같은 제 4 해결책이 바람직한데, 그 이유는 이들 해결책이 펄스 파형, 펄스 폭, 펄스 주파수 및 질량 유량의 필수적인 확고한 제어를 제공하기에 보다 용이하게 구성될 수 있기 때문이다.
본 발명에 따른 가스 분사 시스템은 종래의 플라즈마 처리 장치에 이용되는 가스 분사 플레이트상에 장착될 수 있다. 이러한 플레이트는 RF 플라즈마의 존재시에 플레이트를 냉각시키기 위한 냉각 채널을 포함한다. 또한, 모든 도면에서 도시되지는 않았지만, 분사 시스템 노즐은 분사 플레이트에 형성될 수 있으며, 공지된 방법으로 작동식으로 냉각될 수 있다. 그러나, 노즐의 작동 냉각은 각 노즐의 가스 팽창에 의해 생성될 냉각에 있어서 반드시 필요하지 않을 수 있다.
본 발명에 따른 에칭 방법을 실행하기 위한 리액터는 펄스 모드 에칭(pulsed mode etch : PME) 리액터로서 설계된다. 이러한 리액터의 예를 설명하며, 반응 챔버 컨덕턴스를 개선하는 이들 특징을 강조한다. 이것의 2개 실시예가 도 14a 및 도 14b에 도시되어 있다. 이들 2개 실시예는 서로 가스 분사 및 배기의 취급에 있어서만 상이하다. 이들 실시예는 "감소된 임피던스 챔버"라는 명칭으로 존슨이 1999년 4월 12일자로 출원한 국제 출원 제 PCT/US99/07962 호에 개시된 특징으로 구체화하며, 상기 출원은 참고로 본원에 인용한다.
각 실시예는 기판을 지지하는 수직방향으로 이동가능한 척(6)을 둘러싸는 로드 록(4)을 구비하는 원추형 하부 단부를 구비하는 반전된 반원추형 처리 챔버를 둘러싸는 하우징(2)으로 구성된다. 기판은 통상적으로 웨이퍼(8)의 형태이며, 웨이퍼의 상부 표면이 에칭된다. 척(6)은 웨이퍼(8)의 교체를 허용하기 위한 수직방향 전이되게 장착되며, 플라즈마 영역의 바닥을 밀봉한다. 하우징(2)은 원추형 형태를 가진 다중권취 나선형 코일(14)에 의해 둘러싸여 있고, 하우징(2)에 의해 둘러싸인 원추형 처리 영역내에 RF 전자장을 생성하게 되는 제어된 RF 전류를 수납하도록 연결된다. 하우징(2)은 종래 기술에 공지된 원리에 따라서 처리 영역을 정전기적으로 차폐하도록 구성된다. 하우징(2)은 처리 영역의 상부에 있는 처리 가스 분사 조립체(18, 18')와, 처리 가스 분사 조립체(18)상에 위치된 배기 펌프 조립체(20)를 더 포함한다. 펌프 조립체(20)는 상세하게 도시하지 않으며, 적당한 터보 분자 펌프에 의해 공지된 방법으로 구성될 수 있다. 각 도면은 CF4 가스가 처리 영역내로 펌프되고 그리고 Ar 가스가 배출되는 경우에 제 1 ½ 사이클의 개시의 순간을 도시한 것이다.
도 14a에 도시된 실시예에 있어서, 처리 가스 분사 조립체(18)는 중심에 위치된 분사 플레이트로 구성된다. 사용된 가스 및 에칭 생성물은 분사 플레이트와 하우징(2)의 벽 사이의 환형 영역을 통해서 상방향으로 펌프 조립체(20)로 배기된다.
도 14b에 도시된 실시예에 있어서, 조립체(18')의 분사 플레이트는 전체적으로 처리 영역을 가로질러 연장되고, 입구 포트 및 배기 덕트를 구비하며, 양 포트 및 덕트는 처리 영역의 상부 경계를 가로질러 균일하게 분산된다.
이들 각 실시예에 있어서, 처리할 기판은 반전된 반원추형 챔버의 베이스에 위치된다. 분사 플레이트는 챔버의 상부에 위치된다.
분사 플레이트 또는 매니폴드(18, 18')의 예시적인 예가 각기 도 15a 및 도 15b에 도시되어 있다. 도 15a에는 12개의 작은 분사 오리피스가 있으며, 각 오리피스는 도 5에 도시된 바와 같이 발산 노즐의 입구 단부인 그 스로트에서의 직경이 약 1㎜이다. 플레이트(18)에 제공될 수 있는 오리피스의 개수는 12개로 제한되지 않는다. 플레이트(18)는 노즐의 내부 어레이를 둘러싸는 4개의 대형 펌핑 사분면을 더 구비한다. 도 15b에 도시된 제 2 분사/배기 플레이트는 분사 가스와 배기 가스 사이의 반응을 최소화하고, 배기 덕트 면적을 최대화하는 목적으로 플라즈마 공급원의 상부를 가로질러 분사 포트 및 배기 덕트가 균일하게 분산된다. 이들 실시예는 12인치 웨이퍼 처리 또는 모든 기하학적으로 유사한 변형에 있어서 필요한 크기로 될 수 있게 한다.
본 발명에 따른 에칭 방법을 실행하기 위한 리액터의 3개 실시예가 도 16a 내지 도 16c에 도시되어 있다. 모든 3개 형태에서, 가스 분사는 기판 및 척 또는 기판 홀더에 대향해서 이뤄지며, 진공 펌프는 척을 둘러싸는 환형 영역을 통해 처리 체적에 접근한다. 모든 3개 실시예에 있어서, 코일(14)은 하우징(2)의 상부 부분을 둘러싼다. 따라서, 처리 영역 또는 플라즈마 공급원은 하우징(2)의 상부 부분에 위치된다. 3개 형태에 있어서의 단지 차이점은 코일(14)의 형태와, 그에 따른 처리 영역에 있다. 체적 기하학적 형태는 도 16a에 도시된 바와 같이 원통형 기하학적 형태와, 도 16b에 도시된 바와 같이 절두원추형 기하학적 형태와, 도 16c에 도시된 바와 같이 반구형 기하학적 형태를 포함한다.
이들 실시예의 처리 챔버에 있어서, 벽의 경사는 몇몇 기능을 실행한다. 높은 쿠드센 넘버(Knudsen number : Kn) 한계에서는 내부 표면적에 비해서 가능한한 큰 배기 면적을 가져서 챔버의 컨덕턴스를 개선하는 것이 바람직하다. 챔버 컨덕턴스를 보다 크게 하면 크게 할수록 그 출구에서 발견되는 원자 및 분자의 배기의 기회를 보다 크게 한다. 또한, 가스가 상부로부터 챔버내로 분사되고 챔버에서 외부로 펌핑되는 경우에, 도 2b에 도시된 바와 같이 단일 바운드 원자/분자 이동이 발생할 가능성이 보다 커지게 한다. 반대로, 자유 분자 통제하에서 작동되는 종래의 리액터는 챔버를 빠져나가는 원자/분자를 위한 적어도 2개의 벽 진동이 요구된다. 낮은 쿠드센 넘버(Knudsen number : Kn) 한계에서, 연속 유동과 관련된 측벽의 경사는 챔버의 바닥과 측벽 사이에 형성된 코너내에서의 유동 분리없이 도 2a에 개략적으로 도시된 유동을 향상시킬 것이다. 또한, 경사진 측벽은 공칭적으로 원형으로 원통형인 챔버 설계의 체적을 최소화한다.
가스 분사를 조정하고 챔버 컨덕턴스를 증가시키는 것에 추가하여, 챔버 펌핑 용량을 증가시키면 가스 교체 시간을 추가적으로 개선할 수 있다. 챔버에 인접한 5000리터/초 펌프의 위치 또는 몇몇 1500리터/초 펌프의 위치는 펌프 기술의 엔벨로프를 가압할 것이다. 마지막으로, "플라즈마 진공 펌핑 셀"이라는 명칭으로 단들 등이 1999년 6월 29일자로 출원한 국제 출원 제 PCT/US99/12827 호에 개시된 바와 같이, 증가된 펌핑 성능이 기대될 수 있으며, 상기 출원은 참고로 본원에 인용한다.
요약하면, 본 발명은 가스가 리액터내에서 교체될 수 있는 속도를 최대화하기 위해서 높은 컨덕턴스 챔버에서의 본 기술 분야의 펌핑 성능을 가진 조정된 가스 분사 기술에 관한 것이다.
본 발명에 따른 PME 리액터의 하나의 특정 실시예는 측면도로 도시된 도 17a와, 평면도로 도시된 도 17b와, 단면도로 도시된 도 17c에 도시되어 있다. 도 17a 내지 도 17c에 도시된 바와 같이, 이러한 실시예의 구성요소는 리액터가 제조 설비내에 모든 소망하는 위치에 위치되게 하도록 롤러상에 장착된 지지 프레임에 의해 실행될 수 있다. 리액터의 모든 개별 구성요소는 공지된, 즉 본 기술분야에 이미 공지되거나 여기에 개시된 원리에 의거하여 구성될 수 있다.
도시된 PME 리액터는 기본적으로 플라즈마 공급원(30), 로드 록 챔버(32), 웨이퍼 취급 시스템(34), 도 2a 및 도 2b를 참조하여 상술한 바와 같이 수직방향 전이를 위해 장착되고 자체 바이어스(self-bias)를 제공하도록 RF 파워가 가해지는 수직방향으로 이동가능한 척(36), 자유 이동 진동자와 또한 임피던스 조화 네트워크를 포함할 수 있고 플라즈마 공급원 코일용 RF 전류를 생성하는 공급원 파워 서플라이(38), 공급원(30)상의 중간 진공 챔버(40), 터보 분자 펌프(42), 공급원(30) 및 펌프(42)상의 플레이트(44), 선형 전이 밸브(46) 및 플레이트(44)상의 배기 가스 유동 영역(48)으로 구성된다. 밸브(46)는, 이 밸브(46)가 펌프(42)상의 플레이트(44)의 개구를 차단하는 완전 폐쇄 위치와, 밸브(46)가 플레이트(44)의 개구로부터 최대 거리에 있는 위치에 있는 완전 개방 위치 사이에서 일정 위치에서 이동가능하다. 따라서, 밸브(46)는 플레이트(44)의 개구에 의해서 형성된 배기 가스 유동 경로와, 배기 가스 유동 영역(48)을 통해 가스 유동의 속도를 제어하도록 작동가능하다. 또한, 리액터는 적당한 처리 가스 및 냉각제 공급 커플링(도시하지 않음)을 포함한다.
RF 전류는 FRO일 수 있는 별개의 장치(49)로부터 또는 종래의 고체 상태 RF 발생기로부터의 파워 전이를 최대화하기 위해 이용된 조화 네트워크일 수 있는 별 개의 장치(49)를 통해서 척(36)에 공급될 수 있다.
공급원(30)은 본 기술 분야의 표준 실시에 따라서 FRO(38)에 의해 생성된[또는 조화 네트워크(38)를 통해 종래의 고체 상태 RF 발생기에 의해 동등하게 생성된 또는 마지막으로 조화 네트워크(38)를 통해 개별 RF 신호 여자기 및 RF 파워 증폭기에 의해 생성된] RF 전류를 수신하도록 결합된 나선형 코일(50)과, 정전 차폐체(52)와, 도 15a 및 도 15b에 도시된 형태중 하나를 구비할 수 있는 가스 분사 조립체(도시되지 않음)를 포함한다.
펌프(42)는, 플라즈마 공급원(30)에 인접하게 장착되고, 중간 진공 챔버(40) 및 배기 가스 유동 영역(48)을 거쳐서 플라즈마 공급원(30)에 연결된 시중에서 입수가능한 5000리터/초 터보 분자 펌프일 수 있다. 이러한 장착 배열은 현재 입수가능한 5000리터/초 터보 분자 펌프가 상측 하방으로 배향될 수 없기 때문에 선택되는 것이다.
공급원(30)과 관련된 가스 분자 조립체는 분사 오리피스 및 배기 면적 양자를 포함하며, 후자인 배기 면적은 중간 진공 챔버(40)를 거쳐서 펌프(42)와 연통된다. 따라서, 양 가스 분사 조립체 및 배기 오리피스는 중간 진공 챔버(40)로부터 플라즈마 공급원(30)에 의해 둘러싸인 처리 영역을 분리한다.
제 2 실시예는 중간 진공 챔버상의 몇몇 보다 작은 터보 분자 펌프를 이용할 수 있다.
제 3 실시예는 참고로 본원에 인용하며 "플라즈마 펌프"라는 명칭으로 1998년 12월 30일자로 출원된 미국 가출원 특허 출원 제 60/114,453 호와, 상술한 국제 출원 제 PCT/US99/12827 호에 개시된 형태일 수 있다. 이 실시예는 개선된 펌핑 효율 및 공간 사용성을 제공한다.
도 18은 본 발명에 따른 PME 리액터의 다른 실제 실시예를 도시하는 것으로 도 17a와 유사한 도면이다. 도 18에 도시된 리액터의 구성요소의 대부분은 도 17a 내지 도 17c에 도시된 것과 동일하다. 이들 구성요소는 도 17a 내지 도 17c에 이용된 것과 동일한 참조부호로 표시되며, 다시 설명하지는 않는다.
도 17a 내지 도 17c의 실시예에서와 같이, 도 18에 도시된 실시예의 구성요소는 리액터가 제조 설제내에 모든 소망하는 위치에 위치되게 하도록 롤러상에 장착된 지지 프레임에 의해 실행될 수 있다.
플라즈마 공급원(30)의 상부는 가스 라인 입구(62)에 구비된 가스 분사/배기 플레이트(60)에 의해 한정된다. 또한 도 17a 내지 도 17c의 실시예는 가스 분사/배기 플레이트 및 가스 라인 입구를 구비할 것이지만, 이들은 도 17a 내지 도 17c에는 특별히 표시되지 않았다.
도 18에 도시된 실시예는 플라즈마 공급원(30)상에 그리고 플라즈마 공급원(30)과 수직방향 정렬된 터보 분자 펌프(64)의 배치에 있어서 도 17의 것과 상이하다. 터보 분자 펌프(64)는 게이트 입구 밸브(66) 및 전이 덕트(68)에 의해 플레이트(60)로부터 분리된다.
도 18에 도시된 리액터의 바람직한 실시예에 있어서, 터보 분자 펌프는 도 17a 내지 도 17c의 5000리터/초 펌프 대신에 단일 3000리터/초 펌프이다.
벨로우즈(70)와, 척(36)과 관련된 리프트 핀(72)은 도 17a 내지 도 17c에는 도시되지 않았지만 도 18에 도시되어 있다. 종래 기술에 공지된 바와 같이, 벨로우즈(70)는 척(36)의 수직방향 이동을 허용하는 동시에 플라즈마 공급원(30)에 의해 둘러싸인 처리 영역이 밀봉되어 유지되는 것을 보장한다. 종래 기술에 공지된 리프트 핀(72)은 또한 웨이퍼 취급 조립체(34)를 거쳐서 처리 영역으로부터 제거하기 위해서 정전 척(36)의 표면으로부터 웨이퍼를 들어올리도록 작동된다.
도 19a 내지 도 19c는 도 18의 리액터 뿐만 아니라 도 17a 내지 도 17c에 이용될 수 있는 분사/배기 플레이트의 3개의 가능한 실시예를 도시하는 평면도이다.
도 19a에서, 플레이트(80)는 펌프(64)와 연통될 진공 리턴 포트(84)의 어레이를 포함하는 중앙 영역을 둘러싸는 가스 분사 포트(82)의 몇몇 동심 열을 구비한다. 경계부(86)는 포트(84)가 차지하는 영역으로부터 포트(82)가 차지하는 영역을 분리한다.
도 19b는 진공 리턴 포트(94)의 원형 어레이에 의해 둘러싸인 가스 분사 포트(92)의 어레이를 구비하는 플레이트(90)를 도시한 것이다. 경계부(96)는 리턴 포트(94)가 차지하는 영역으로부터 분사 포트(92)가 차지하는 영역을 분리한다. 이러한 실시예에 있어서, 펌프(64)와 연통되는 리턴 포트는 각각 비교적 대경이다.
도 19c는 플레이트(100)의 일 실시예를 도시한 것으로, 플레이트의 가스 분사 포트(102)는 기본적으로 진공 리턴 포트(104)와 중첩된다. 가스 분사 포트(102)는 진공 리턴 포트(104)와 같이 다수의 원형 동심 링을 형성하도록 배열되며, 가스 분사 포트(102)의 링은 진공 리턴 포트(104)의 링과 교호한다. 이들 부품의 다른 패턴이 물론 예상될 수 있다.
상술한 바와 같이, 플라즈마 공급원으로 전달된 RF 파워의 진폭은 특정 프로세스에 유용한 플라즈마 상태를 생성하도록 조정될 수 있다. 예를 들면, 본 발명에 따르면, RF 공급원 파워는 예를 들면 챔버내의 CF4 및 Ar 가스의 교체와 동시에 "저" 파워 레벨과 "고" 파워 레벨 사이로 변조될 수 있다. 그러나, 파워 레벨 조정과 가스 교체 사이의 π 라디언과 비교해 작은 위상 변동이 있을 수 있다.
이러한 시간 스케일상의 RF 파워의 일시적인 조정은 플라즈마 공급원의 신간 변화 로드 임피던스에 조화시키는 능력과, 그에 따른 100% 파워 전이를 유지하는 능력에 의해 주로 제한된다. 캐패시터를 조정하기 위해서 기계적 장치를 이용하는 종래의 RF 조화 네트워크는 1% 정확도(가장 빠른 조화 네트워크의 응답 시간이 250 내지 500msec에 도달함)내에서 RF 파워 펄스를 규정하기 위해서 100 단계로 가정하는 단지 2 내지 5초의 제어된 펄스 폭에 도달할 수 있다. 가스 교체 속도와 관련하여 상술한 바와 같이, RF 공급원 파워의 변조는 가스 잔류 시간(또는 가스 교체 시간)과 비교가능한 펄스 폭으로 작동시키게 하고자 하는 것이다. 이것은 전류 조화 네트워크의 성능을 특별히 초과하는 1 내지 10msec의 시간 스케일상의 RF 공급원 파워를 펄스화하는 능력이 요구되다.
본 발명에 따르면, 소망하는 파워 변조 속도는 "유도적으로 결합된 플라즈마를 생성하기 위한 무선주파수 파워 공급원"이라는 명칭으로 1999년 7월 13일자로 출원된 미국 가출원 특허 출원 제 60/143,548 호에 개시된 바와 같이 자유 이동 진동자(FRO)를 이용함으로써 구해질 수 있으며, 상기 특허 출원은 참고로 본원에 인 용한다. RF 파워 서플라이는 로드 공명 주파수의 변화에 응답하는 입력 RF 주파수를 자동적으로 조정하는 자유 이동 진동자를 구체화한다. FRO의 사용으로 인해 조화 네트워크 및 고체 상태 RF 파워 서플라이의 필요성이 제거되고, 국부적인 RF 부분을 플라즈마 공급원 및 원격 위치된 DC 파워 서플라이로 교체한다. 플라즈마 상태가 RF 파워, 압력, 온도, 질량 유량 및/또는 가스 종류의 변화로 인해서 ESRF 공급원에서 변화되는 경우, 그에 따라 RF 주파수(또는 진동자 주파수)는 플라즈마 공급원의 자연적인 주파수의 변화에 응답하여 조정된다. 플라즈마 상태의 원인분석으로서 모니터링하는 신뢰성, 반복성, 속도, 펄스 성능 및 주파수 사용을 포함하는 제시된 해결책에는 몇몇 이점이 있다.
플라즈마 공급원 변화에의 FRO의 응답은 가스/플라즈마가 입력 변화로 인해 리액터내에서 안정화되게 하기 위한 시간으로 제한된다. 이것은 작동 상태 동안에 10㎲일 수 있으며, 개시 상태 동안에 1msec 정도로 높을 수 있다. FRO가 작동 및 개시 상태 양자 동안에 기계적인 조정이 필요없다는 사실은 입력 RF 파워 서플라이의 응답의 추가적인 지연이 없다는 것을 의미한다. 따라서, FRO는 제로와 유한 파워 레벨 사이의 그리고 각기 30㎲와 50㎲의 상승 및 강하 시간을 가진 파워의 상이한 레벨 사이의 RF 파워를 펄스화할 수 있는 능력을 증명한다. 2 내지 5초의 기간 또는 폭을 가진 펄스를 생성할 수 있는 조화 네트워크를 가진 고체 상태 파워 서플라이와 달리, FRO는 3 내지 5msec의 일시적 펄스 폭을 가진 RF 파워 펄스를 생성할 수 있다. 또한, 파워의 상이한 레벨 사이를 펄스화하기 위한 FRO의 능력은 복잡한 다중-레벨 원통형 또는 비원통형을 이용하는 RF 파워의 변화가 시간에 따라 좌우되게 한다.
FRO 시스템은 고전압 DC 파워 서플라이와, 전자 튜브를 통해 전자 유동을 제어하기 위한 가변 전위 그리드를 포함하는 전자 튜브를 구비하는 진동자를 포함한다. 이들 구성요소 모두는 나선형 코일을 거쳐 플라즈마 공급원 챔버에 유도적으로 결합되어 있다. 회로내의 전자 노이즈는 개시 동요를 생성하며, 바람직한 파형은 증폭된다. 결과적인 진동의 지속성은 피드백 전압의 게인이 1보다 큰가 또는 그렇지 않은가에 따라 좌우된다. 플라즈마의 상태가 변화할 때 피드백으로 인해 전자 튜브에 걸쳐서 전위가 변화되고, 실질적으로 전자 튜브는 진동 주파수를 자체 조정한다.
상술한 미국 가출원 특허 출원 제 60/114,453 호 및 미국 가출원 특허 출원 제 60/143,548 호에 개시된 2개의 대안이 있다. 펄스형 작동을 성취하기 위한 하나의 방법은 전자 그리드 전위를 펄스화하는 것이다. 이러한 방법에 따르면, 각기 30㎲와 50㎲의 펄스 상승 및 강하 시간이 성취된다. 제 2 방법은 B+ 파워 서플라이를 펄스화한다. 이러한 펄스화는 보다 높은 파워에서 이뤄지지만, 펄스 상승 및 강하 시간이 보다 짧아지게 하고, 반복 속도가 보다 높아지게 한다.
따라서, FRO는 펄스형 RF 파워를 플라즈마 공급원 뿐만 아니라 후술하는 척으로 전달하기 위한 바람직한 시스템이다. 500㎐를 초과하는 높은 속도로 펄스 처리가 이뤄지게 하며, RF 파워 레벨 또는 플라즈마 밀도의 제어를 위한 확고한 시스템을 제공한다. 플라즈마 밀도 제어는 측정된 플라즈마 밀도 값의 플라즈마 밀도 및 피드백의 측정이 요구된다.
보다 고가로 될 수 있는 다른 접근 방법은 주파수의 피드백 제어를 위한 위상/크기 검출기와 결합된 주파수에 기민한 RF 파워 발생기를 이용할 필요가 있다. 이러한 방법에서, RF 파워는 조정될 수 있는 동시에, 최대 파워 전달이 RF 주파수를 변화시킴으로써 유지된다. 이러한 형태의 발생기는 1997년 11월 18일자로 하나와에게 허여된 미국 특허 제 5,688,357 호에 개시되어 있다. 그러나, 본 발명에 따른 시스템에 있어서, 공지된 증폭이 대신에 삽입된 펄스 조정 RF 증폭기를 포함하도록 변형될 수 있다.
또다른 접근 방법은 파워 펄스 능력을 가진 종래의 고체 상태 RF 발생기나, 각 파워 레벨을 위한 설계된 사전설정 캐패시터 세팅을 가진 조화 네트워크를 구비한 파워를 펄스화할 수 있는 RF 신호 여자기 및 RF 증폭기를 이용하는 것이다. 캐패시터 세팅이 일정하기 때문에, 펄스 시간은 기계적인 구성요소의 응답에 의해 제한되지 않는다. 제 1 실시예는 사전설정 캐패시터 사이를 절환할 수 있는 스위치를 포함할 수 있다. 이러한 시스템을 위한 제 2 실시예는 공동 여자기, 공동 여자기 신호 출력 사이의 위상 변환기, 2개 또는 그 이상의 RF 파워 증폭기, 특정 파워 레벨을 위해 설계된 2개 또는 그 이상의 사전설정 조화 네트워크 및 플라즈마 공급원을 둘러싸는 2개 또는 그 이상의 유도 코일을 포함할 수 있다. 예를 들면 2개의 파워 레벨이 선택된다면, 2개의 파워 증폭기는 RF 파워의 연속적인 트레인을 생성하도록 위상을 벗어나서 180°로 작동될 수 있다. 그러나, 신호 사이의 위상 차이와 각 신호의 듀티 사이클이 변화될 수 있다. 양자의 경우에, 정확한 임피던스 조 화는 조화 네트워크의 일정한 성질로 인해서 구해지지 않는다. 그러나, 반사된 파워가 수용가능하다면, 전방 파워는 증폭기로부터의 전체 파워 출력을 증가시킴으로써 소망하는 레벨로 증가될 수 있다.
따라서, 펄스 조정 RF 파워를 가진 플라즈마 공급원을 구동시키기 위한 방법이 개시되었으며, 이제는 가스 종료의 교체를 제어하기 위한 방법을 설명한다. 가스로서 CF4 및 Ar을 이용하는 에칭 절차는 이전에 설명했다. 교체할 2개의 가스 종류는 보다 일반적으로 A와 B로 표시할 것이다. 그러나, 특정 절차에 있어서 이하에 보다 상세하게 설명하는 바와 같이 2개 이상의 가스 종류 및 대응한 RF 사이클을 이용할 수 있다.
도 20a 내지 도 20c는 3개의 일시적으로 조정된 프로세스 성분, 즉 도 20a의 가스 종류, 도 20b의 RF 공급원 파워 및 도 20c의 척에 가해진 RF 바이어스 파워의 진폭 및 상대 위상의 예를 도시하는 도면이다. 가스 교체 펄스 주기(Tg)는 각 RF 공급원 및 척 바이어스 파워 주기(TRF-S 및 TRF-b)와 적어도 대략 동일하다. 그러나, 일반적으로, 이러한 것은 항상 그러한 것은 아니다.
도 20a에서, 가스 교체 사이클은 그 주기(Tg)에 의해 규정되며, 2개 가스의 ½ 사이클의 각 펄스 폭은 τA 및 τB이며, 2개 가스의 각 질량 유량 속도는 FA 및 FB이다.
유사하게, 도 20b에서, RF 공급원 파워 사이클은 그 주기(TRF-S)로 규정되며, 각 RF 공급원 파워 사이클의 2개의 ½ 사이클의 각 진폭은 PA1 및 PB1이며, 각 RF 공급원 파워 사이클의 ½ 사이클의 각 펄스 폭은 τA1 및 τB1이며, RF 공급원 파워 ½ 사이클의 각 위상은 각 가스 종류 ½ 사이클에 대해서 φA1 및 φB1이다.
도 20c는 척에 가해진 RF 바이어스 파워에 대한 비교가능한 파라메터를 도시한 것이며, 이들 파라메터로는 RF 바이어스 파워 사이클 주기(TRF-b)와, 각 RF 바이어스 파워 사이클의 2개의 ½ 사이클의 각 진폭(PA2 및 PB2)과, 각 RF 바이어스 파워 사이클의 ½ 사이클의 각 펄스 폭(τA2 및 τB2)과, 각 가스 종류 ½ 사이클에 대한 RF 공급원 파워 ½ 사이클의 각 위상(φA2 및 φB2)이 있다.
본 발명에 다른 실시예에 따르면, 공급원 파워, 바이어스 파워 및/또는 가스 유동의 변조는 챔버 상태 및/또는 프로세스 요구조건의 변화에 따라 주기적으로 변화될 수 있다. 도 20d는 반복 주기(τ1)를 가진 펄스가 제공되는 동안의 제 1 시간 간격(T1)과, 반복 주기(τ2)를 가진 펄스가 제공되는 동안의 제 2 시간 간격(T2)과, 변조가 이뤄지지 않는 동안의 제 3 시간 간격(T3)으로 구성된 변조 패턴의 일 예를 도시한 것이다. 이러한 패턴은 에칭 또는 증착 프로세스가 몇몇 단계로 구성되는 많은 응용예에 있어서 유리할 수 있다. 각 단계는 시간 간격(T1, T2, T3 등등)중 각기 하나와 관련될 수 있다. 각 단계는 상이한 챔버 상태와, 프로세스 종료전의 에칭 속도의 가능한 감소와 같은 상이한 에칭 속도에 대한 요구와, 에칭 프로세스의 상이한 선택성 등과 관련될 수 있다.
복잡성, 특히 상술한 시스템의 독립적인 변수의 개수로 인해서, 중립 네트워크를 이용하기에 유용할 수 있으며, 중립 네트워크에 있어서 중앙 컴퓨터는 전기 시스템으로부터의 본래 진단 및/또는 데이터베이스로부터의 소망하는 에칭 속도, 선택성, 특징 프로파일 파라메터 등을 포함한 사전저장된 프로세스 데이터를 수신할 수 있으며, 적절한 프로세스 결과를 성취하도록 큰 파라메터 공간을 조정할 수 있다. 다중 변화 정적 분석을 위한 신경망을 사용은 문헌에 잘 기재되어 있다.
따라서, 제공된 설명에서, 최소 펄스 폭은 최소 가스 교체 시간으로 제한된다. 이것은 1 내지 10msec일 것이다. 그러나, 가스 및 RF 파워의 펄스화는 이러한 시간 스케일로 제한되지 않는다. 이들은 예를 들면 1msec에서 변조될 수 있다. 이것은 가스가 이러한 시간 주기에서 완전히 교체되지 않고, 그에 따라 가스 펄스화에 대한 RF 파워 적용을 위상함으로써 조정될 수 있는 지연 시간이 있게 할 수 있게 한다. 이것은 단지 일 예이다. 위상 변동은 극히 높은 펄스 속도에서 비적합 상황에 비추어 프로세스를 최적 상태로 조정할 수 있게 한다.
2개 가스 성분 및 프로세스 성분보다 많을 수 있다. 따라서, 이들 성분은 Pij 및 φij 등으로 표시될 수 있으며, 여기에서 "i" 및 "j"는 각기 가스 성부 및 프로세스 성분을 나타낸다. 각 파라메터는 프로세스 결과를 관찰함으로써 변경될 수 있다. 예를 들면 후술하게 될 플라즈마 밀도 모니터 및 방출 분광기가 이용되어 이들 파라메터를 조정하기 위해 시스템 성분에 피드백을 거쳐서 리얼타임 제어를 제공할 수 있다.
가스 종류 및 RF 공급원 파워의 변조는 척에 가해진 RF 바이어스 파워의 변조와 결합되어 이온 에너지 및 이온 에너지 분포를 제어할 수 있다. 이온 에너지는, 기판상에 존재하며 플라즈마 외장을 가로질러 양 이온을 기판내로 가속하기 위한 구동 전위를 제공하는 DC 자체 바이어스에 직접적으로 관련이 있다.
RF 파워가 커플링 캐패시터를 거쳐서 기판을 보유하는 척에 가해지는 경우에, DC 자체 바이어스는 플라즈마 외장을 가로질러 전자 및 양 이온의 가동성의 차이의 결과로서 발생된다. DC 자체 바이어스를 발생하기 위한 장치 및 기술은 종래 기술에 이미 공지되어 있다.
척으로 전달된 RF 바이어스 파워의 변조는 (ⅰ) RF 파워의 진폭의 변조, (ⅱ) 펄스 성분 사이의 위상의 변조, (ⅲ) RF 신호내의 조화 면적의 변조로 구성된다. 각각의 중요성은 후술된다.
챔버내의 가스의 교체에 대해서 척으로 전달된 RF 바이어스 파워의 진폭 및 위상의 일시적 변조는 RF 공급원 파워의 진폭 및 위상의 일시적 변조에 대해서 상술한 것과 유사한 형태로 실현된다. RF 공급원 파워에서와 같이, 자유 이동 진동자(FRO)는 척에 RF 파워를 공급하도록 이용될 수 있다.
RF 바이어스 척의 조화 면적 및 진폭의 변조와 관련하여, 플라즈마 외장을 제어하는 문제가 고려되어야 한다. 산소 에칭시에, 일반적으로 현재 입수가능한 플라즈마 리액터는 웨이퍼 RF 드라이브와 접지 사이의 회로 임피던스를 나타내며, 이러한 드라이브 및 접지는 진폭의 차수보다 큰 플라즈마 외장의 임피던스를 초과 한다. 이러한 높은 임피던스 레벨은 효과적인 플라즈마 외장 제어의 성취를 방해한다. 충분히 낮은 임피던스를 가진 플라즈마 리액터 구조체는 상술한 국제 출원 제 PCT/US99/07962 호에 개시되어 있다.
전형적으로 플라즈마 리액터내에서, 리액터내의 접지 전극과 종동 전극(즉, 척) 사이의 임피던스는 몇몇 성분에 기여될 수 있다. 리액터 기하학적 형태는 척과 그 지지체를 둘러싸는 벨로우즈를 통해, 척으로부터 외부 벽 위의 챔버 벽까지 연장되는 스포크를 통해, 플라즈마 공급원을 통해서 분사 플레이트로 통과시키기 위해서 척으로부터 접지까지 전기 경로가 요구된다. 이것은 상술한 국제 출원 제 PCT/US99/07962 호에 개시되어 있다. 도 14a 및 도 14b에 도시된 리액터 기하학적 형태는 상술한 전기 경로의 몇몇 성분, 즉 챔버 벽, 벨로우즈 및 챔버 바닥의 스포크를 제거한다. 척은 플라즈마 공급원 바닥에 바로 인접해 위치되며, 척은 실제로 리액터의 바닥 벽으로서 작용한다. 척은 상방 및 하방으로 이동하도록 설계되어, 웨이퍼를 수납 또는 분배하도록 로드 록 챔버내로 수직으로 하방으로 이동될 수 있으며, 다음에 수직 상방으로 이동되며, 그 작동 위치내로 체결될 수 있으며, 척은 플라즈마 공급원 베이스로서 작용한다. 몇몇 리액턴스의 제거에 의한 챔버 임피던스의 감소로 인해서, 플라즈마 외장의 제어는 보다 용이하게 실시할 수 있게 된다.
플라즈마 공급원으로 전달되는 RF 파워를 펄스화하는 방법과, 1%의 정확도를 성취할 수 있는 FRO를 이용하는 사각형 파형의 형태의 RF 진폭의 변조는 이미 상술하였다. 그러나, 긍극적인 목적은 RF 진폭의 제어와 유사한 방법으로 플라즈마 밀도를 제어하는 것이다. 이것은 본래 플라즈마 밀도를 모니터하여 RF 진폭을 조정 하는 제어 시스템용 피드백을 제공할 필요성이 요구된다.
가스 분사 및 RF 파워 레벨을 펄스화하는 것에 추가하여, 본 발명에 따른 플라즈마 처리 작동은 하나의 가스 또는 파워 레벨 펄스로부터 다른 펄스까지 또는 이러한 각 펄스 동안에 처리 챔버 압력을 변화시키는 것을 포함할 수 있다.
본 발명의 단지 몇몇 예시적인 실시예를 상술하였지만, 당 업자들은 본 발명의 새로운 기술 및 이점을 실질적으로 벗어남이 없이 예시적인 실시예에서 많은 변경이 이뤄질 수 있다는 것을 알고 있다. 따라서, 모든 이러한 변경은 본 발명의 영역내에 포함되는 것으로 의도된다.

Claims (26)

  1. 리액터 챔버내의 기판상에서 플라즈마 보조 처리를 실행하는 방법에 있어서,
    제 1 시간 주기 동안에 제 1 처리 가스를 상기 리액터 챔버내로 도입하고, 상기 제 1 시간 주기에 이어서 제 2 시간 주기 동안에 상기 제 1 처리 가스와 상이한 조성을 가진 제 2 처리 가스를 도입하는 단계와,
    상기 리액터 챔버내에 RF 전자장을 설정하고 상기 전자장을 상기 제 1 및 제 2 처리 가스와 상호작용하게 함으로써 상기 리액터 챔버내에 플라즈마를 형성하는 단계와,
    각각의 에너지 레벨 값이 상기 기판의 각각의 상이한 처리 프로세스의 실행과 관련되도록, 전자장이 상기 플라즈마를 유지하기에 각각 충분한 적어도 2개의 값 사이에서 주기적으로 변화하는 에너지 레벨을 갖도록 야기하는 단계를 포함하는
    플라즈마 보조 처리 실행 방법.
  2. 제 1 항에 있어서,
    상기 야기 단계에 있어서, 상기 전자장의 에너지 레벨은 비사각형 파형 함수(non-square wave function)에 따라서 변화되게 되는
    플라즈마 보조 처리 실행 방법.
  3. 제 1 항에 있어서,
    상기 야기 단계에 있어서, 상기 전자장의 에너지 레벨은 사인곡선 함수, 램프 함수(ramp function) 또는 단차형 함수에 따라서 변화되게 되는
    플라즈마 보조 처리 실행 방법.
  4. 제 1 항에 있어서,
    상기 야기 단계에 있어서, 상기 전자장의 에너지 레벨은 상기 플라즈마를 유지하기에 각기 충분한 적어도 3개의 값중에서 변화되게 되는
    플라즈마 보조 처리 실행 방법.
  5. 제 1 항에 있어서,
    상기 야기 단계에 있어서, 상기 전자장의 에너지 레벨은 각기 상이한 시간 간격 동안에 각기 상이한 반복 주기로 주기적으로 변화되게 되는
    플라즈마 보조 처리 실행 방법.
  6. 제 1 항에 있어서,
    상기 프로세스 챔버내의 주기적으로 변화하는 가스 압력을 유지하는 단계를 더 포함하는
    플라즈마 보조 처리 실행 방법.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 처리 가스중 다른 하나를 리액터 챔버로 도입하기 전에 상기 리액터 챔버로부터 이전에 도입된 상기 처리 가스중 하나의 전체를 제거하는 단계를 더 포함하는
    플라즈마 보조 처리 실행 방법.
  9. 제 8 항에 있어서,
    상기 전자장을 주기적으로 변화하도록 야기하는 상기 단계가, 상기 에너지 레벨이 상기 제 1 시간 주기의 동안에 2개의 값중 첫번째 것을 그리고 상기 제 2 시간 주기의 동안에 2개의 값중 두번째 것을 갖도록 실행되는
    플라즈마 보조 처리 실행 방법.
  10. 제 9 항에 있어서,
    상기 제 1 처리 가스 도입 단계와 제 2 처리 가스 도입 단계가 주기적인 방법으로 반복되는
    플라즈마 보조 처리 실행 방법.
  11. 제 10 항에 있어서,
    상기 각 시간 주기가 10msec보다 짧은 기간인
    플라즈마 보조 처리 실행 방법.
  12. 제 11 항에 있어서,
    상기 기판이 척상에 장착된 웨이퍼이며, RF 바이어스 전압을 척에 가하는 단계를 더 포함하는
    플라즈마 보조 처리 실행 방법.
  13. 제 12 항에 있어서,
    RF 바이어스 전압을 가하는 상기 단계가 2개의 값 사이에서 RF 바이어스 전압을 주기적으로 변화시키는 것을 포함하는
    플라즈마 보조 처리 실행 방법.
  14. 제 13 항에 있어서,
    상기 RF 바이어스 전압이 상기 RF 전자장 강도의 주기적 변화와 동시에 변화되는
    플라즈마 보조 처리 실행 방법.
  15. 제 10 항에 있어서,
    상기 제 1 처리 가스 도입 단계 및 제 2 처리 가스 도입 단계에 있어서, 각 처리 가스가 비사각형 파형 함수에 따라 변화하는 유동 속도로 도입되는
    플라즈마 보조 처리 실행 방법.
  16. 제 10 항에 있어서,
    상기 제 1 처리 가스 도입 단계 및 제 2 처리 가스 도입 단계에 있어서, 각 처리 가스가 사인곡선 함수, 램프 함수 또는 단차형 함수에 따라 변화하는 유동 속도로 도입되는
    플라즈마 보조 처리 실행 방법.
  17. 제 1 항에 있어서,
    상기 제 2 시간 주기에 이어서 제 3 시간 주기 동안에 상기 제 1 및 제 2 처리 가스 각각과 상이한 조성을 가진 적어도 제 3 처리 가스를 도입하는 단계를 더 포함하는
    플라즈마 보조 처리 실행 방법.
  18. 제 1 항에 있어서,
    상기 프로세스 챔버내의 주기적으로 변화하는 가스 압력을 유지하는 단계를 더 포함하는
    플라즈마 보조 처리 실행 방법.
  19. 기판상에서 플라즈마 보조 처리를 실행하기 위한 리액터에 있어서,
    플라즈마 영역을 둘러싸는 챔버와,
    상기 플라즈마 영역에 바로 근접한 가스 분사 조립체로서, 제 1 시간 주기 동안에 제 1 처리 가스를 리액터 챔버내로 도입하고, 상기 제 1 시간 주기에 이어서 제 2 시간 주기 동안에 상기 제 1 처리 가스와 상이한 조성을 가진 제 2 처리 가스를 도입하도록 구성된, 상기 가스 분사 조립체와,
    RF 전자장을 플라즈마 영역 내에 생성하도록 구성된 RF 파워 공급원으로서, 상기 RF 전자장은 제 1 처리 가스와 제 2 처리 가스 중 적어도 하나와 상호작용하여 플라즈마를 생성하고, 상기 플라즈마를 유지하기에 각각 충분한 적어도 2개의 값 사이에서 주기적으로 변화하는 에너지 레벨을 갖는, 상기 RF 파워 공급원과,
    상기 플라즈마 영역과 연통되는 상기 챔버내에 기판을 지지하도록 구성된 지지 부재와,
    상기 플라즈마 영역과 연통되는 진공 펌프로서, 상기 플라즈마 영역내의 선택된 진공 압력을 유지하기 위한 속도로 처리 가스를 제거하는, 상기 진공 펌프를 포함하며;
    상기 가스 분사 조립체는 복수의 가스 분사 노즐이 마련되어 있는 가스 분사 플레이트와, 상기 노즐중 적어도 각기 하나에 제 1 처리 가스 또는 제 2 처리 가스 중 적어도 하나를 공급하도록 각각 구성된 복수의 가스 분사 밸브와, 상기 복수의 가스 분사 밸브에 연결되어 제 1 처리 가스 또는 제 2 처리 가스를 간헐적인 방법으로 상기 노즐의 각각에 공급되게 하는 복수의 밸브 제어기를 포함하는
    플라즈마 보조 처리 실행 리액터.
  20. 제 19 항에 있어서,
    상기 지지 부재에 작동적으로 연결되고, 이온을 기판으로 유인하기 위해 직류 자체 바이어스(DC self-bias)를 발생시키도록 구성되는 RF 바이어스 전원을 더 포함하고, 상기 직류 자체 바이어스는 적어도 2개의 값 사이에서 주기적으로 변화하는 에너지 레벨을 갖는
    플라즈마 보조 처리 실행 리액터.
  21. 제 19 항에 있어서,
    상기 복수의 밸브 제어기는 상기 챔버내로 제 1 및 제 2 처리 가스를 펄스 형태로 도입하도록 작동되는
    플라즈마 보조 처리 실행 리액터.
  22. 제 19 항에 있어서,
    상기 복수의 가스 분사 밸브의 각각이 전자기 또는 압전 장치인
    플라즈마 보조 처리 실행 리액터.
  23. 제 19 항에 있어서,
    상기 복수의 가스 분사 밸브 각각이 상기 복수의 가스 분사 노즐 중 각 단일의 하나에 가스를 공급하기 위해 연결된
    플라즈마 보조 처리 실행 리액터.
  24. 제 19 항에 있어서,
    상기 복수의 가스 분사 밸브 각각이 상기 복수의 가스 분사 노즐 중 각각 다수에 가스를 공급하도록 연결된
    플라즈마 보조 처리 실행 리액터.
  25. 제 19 항에 있어서,
    상기 복수의 가스 분사 노즐의 각각이 초음속 분사 노즐인
    플라즈마 보조 처리 실행 리액터.
  26. 제 19 항에 있어서,
    상기 가스 분사 플레이트가 복수의 배기 오리피스를 더 구비하며, 상기 배기 오리피스를 통해서 제 1 또는 제 2 처리 가스가 상기 플라즈마 영역으로부터 상기 진공 펌프까지 유동되는
    플라즈마 보조 처리 실행 리액터.
KR1020027002007A 1999-08-17 2000-08-09 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 KR100750420B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14917799P 1999-08-17 1999-08-17
US60/149,177 1999-08-17
PCT/US2000/021667 WO2001012873A1 (en) 1999-08-17 2000-08-09 Pulsed plasma processing method and apparatus

Publications (2)

Publication Number Publication Date
KR20020040775A KR20020040775A (ko) 2002-05-30
KR100750420B1 true KR100750420B1 (ko) 2007-08-21

Family

ID=22529101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027002007A KR100750420B1 (ko) 1999-08-17 2000-08-09 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터

Country Status (9)

Country Link
US (1) US7166233B2 (ko)
EP (1) EP1214459B1 (ko)
JP (1) JP4819267B2 (ko)
KR (1) KR100750420B1 (ko)
CN (1) CN100371491C (ko)
AT (1) ATE420454T1 (ko)
DE (1) DE60041341D1 (ko)
TW (2) TWI267562B (ko)
WO (1) WO2001012873A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011105873A2 (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 펄스 플라즈마의 dc 파워 인가에 따른 동기화 제어 방법
KR20140096367A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 하이브리드 펄싱 플라즈마 처리 시스템
KR20150100522A (ko) * 2014-02-24 2015-09-02 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20160028370A (ko) * 2014-08-28 2016-03-11 도쿄엘렉트론가부시키가이샤 에칭 방법
KR101745686B1 (ko) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
US11017987B2 (en) 2017-08-18 2021-05-25 Sony Semiconductor Solutions Corporation Etching method and etching processing apparatus

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US7288293B2 (en) * 2001-03-27 2007-10-30 Apit Corp. S.A. Process for plasma surface treatment and device for realizing the process
WO2003002860A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003173757A (ja) * 2001-12-04 2003-06-20 Nissin Electric Co Ltd イオンビーム照射装置
KR100449645B1 (ko) * 2002-01-23 2004-09-22 주식회사 아이피에스 자기 ald 박막증착방법
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2005072260A (ja) * 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7763546B2 (en) * 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
KR101097625B1 (ko) * 2007-03-27 2011-12-22 캐논 아네르바 가부시키가이샤 진공 처리 장치
US7768766B2 (en) * 2007-06-01 2010-08-03 Lam Research Corporation Plasma processing system ESC high voltage control
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8633452B2 (en) 2011-07-13 2014-01-21 Fei Company Methods and structures for rapid switching between different process gases in an inductively-coupled plasma (ICP) ion source
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8822913B2 (en) 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8722526B2 (en) 2012-07-27 2014-05-13 Veeco Ald Inc. Growing of gallium-nitrade layer on silicon substrate
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9434612B2 (en) 2012-11-30 2016-09-06 Elwha, Llc Systems and methods for producing hydrogen gas
US9156688B2 (en) 2012-11-30 2015-10-13 Elwha Llc Systems and methods for producing hydrogen gas
WO2014085594A2 (en) * 2012-11-30 2014-06-05 Elwha Llc Systems and methods for producing hydrogen gas
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103898613B (zh) * 2012-12-24 2017-07-07 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9006109B2 (en) * 2013-03-27 2015-04-14 Infineon Technologies Ag Semiconductor devices and methods for manufacturing semiconductor devices
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP5921580B2 (ja) * 2014-01-15 2016-05-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US20190055648A1 (en) * 2016-01-06 2019-02-21 Toshiba Mitsubishi-Electric Insustrial Systems Cor Gas supply apparatus
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
KR102549308B1 (ko) 2016-03-29 2023-06-30 도쿄엘렉트론가부시키가이샤 에칭 장치
KR20230162155A (ko) 2016-04-20 2023-11-28 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN106234557A (zh) * 2016-10-10 2016-12-21 成都沃特塞恩电子技术有限公司 一种射频功率源和射频解冻装置
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2021236359A1 (en) 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4808258A (en) * 1983-10-19 1989-02-28 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
EP0578011A1 (en) 1992-06-24 1994-01-12 Texas Instruments Incorporated Multi-electrode plasma processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3677799A (en) 1970-11-10 1972-07-18 Celanese Corp Vapor phase boron deposition by pulse discharge
US3721583A (en) 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
FR2320774A1 (fr) 1974-01-10 1977-03-11 Radiotechnique Compelec Procede et dispositif de depot de materiau dope
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
DE3574997D1 (de) * 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JPH08172081A (ja) * 1995-08-28 1996-07-02 Hitachi Ltd プラズマ表面処理装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH11158615A (ja) * 1997-11-27 1999-06-15 Nec Corp スパッタリング装置及びそれを使用した半導体装置の製造方法
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP3186689B2 (ja) * 1998-03-27 2001-07-11 株式会社日立製作所 プラズマ処理方法およびその装置
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4808258A (en) * 1983-10-19 1989-02-28 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
EP0578011A1 (en) 1992-06-24 1994-01-12 Texas Instruments Incorporated Multi-electrode plasma processing apparatus

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011105873A2 (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 펄스 플라즈마의 dc 파워 인가에 따른 동기화 제어 방법
WO2011105873A3 (ko) * 2010-02-26 2012-01-12 성균관대학교산학협력단 펄스 플라즈마의 dc 파워 인가에 따른 동기화 제어 방법
KR20140096367A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 하이브리드 펄싱 플라즈마 처리 시스템
KR102215308B1 (ko) * 2011-11-15 2021-02-16 램 리써치 코포레이션 하이브리드 펄싱 플라즈마 처리 시스템
KR20150100522A (ko) * 2014-02-24 2015-09-02 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102356211B1 (ko) * 2014-02-24 2022-01-27 도쿄엘렉트론가부시키가이샤 에칭 방법
KR101745686B1 (ko) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
KR20160028370A (ko) * 2014-08-28 2016-03-11 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102361782B1 (ko) * 2014-08-28 2022-02-10 도쿄엘렉트론가부시키가이샤 에칭 방법
US11017987B2 (en) 2017-08-18 2021-05-25 Sony Semiconductor Solutions Corporation Etching method and etching processing apparatus

Also Published As

Publication number Publication date
EP1214459B1 (en) 2009-01-07
CN1369021A (zh) 2002-09-11
US20020160125A1 (en) 2002-10-31
EP1214459A4 (en) 2006-07-26
EP1214459A1 (en) 2002-06-19
DE60041341D1 (de) 2009-02-26
US7166233B2 (en) 2007-01-23
WO2001012873A1 (en) 2001-02-22
TW200629337A (en) 2006-08-16
CN100371491C (zh) 2008-02-27
ATE420454T1 (de) 2009-01-15
TWI293769B (en) 2008-02-21
JP4819267B2 (ja) 2011-11-24
JP2003507880A (ja) 2003-02-25
TWI267562B (en) 2006-12-01
KR20020040775A (ko) 2002-05-30

Similar Documents

Publication Publication Date Title
KR100750420B1 (ko) 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US9257294B2 (en) Methods and apparatuses for energetic neutral flux generation for processing a substrate
KR101115439B1 (ko) 이중 음극 주파수 혼합을 사용한 플라즈마 제어
US20230369076A1 (en) Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US7141504B1 (en) Method and apparatus for anisotropic etching
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US9735020B2 (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US5330606A (en) Plasma source for etching
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6417111B2 (en) Plasma processing apparatus
TWI541893B (zh) Process apparatus and method for plasma etching process
JP4143684B2 (ja) プラズマドーピング方法及び装置
US6909087B2 (en) Method of processing a surface of a workpiece
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
SG193943A1 (en) E-beam enhanced decoupled source for semiconductor processing
US5082685A (en) Method of conducting plasma treatment
EP3139403B1 (en) Cyclical plasma etching
JP2007266522A (ja) プラズマ処理装置およびそれを用いた加工方法
KR100803338B1 (ko) 플라즈마 처리장치
KR20030037092A (ko) 반도체 제조장치
CN113767453A (zh) 等离子处理装置以及等离子处理方法
Chen Variable energy neutral beam design and kinetic energy etching

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 13