KR20160028370A - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR20160028370A
KR20160028370A KR1020150121028A KR20150121028A KR20160028370A KR 20160028370 A KR20160028370 A KR 20160028370A KR 1020150121028 A KR1020150121028 A KR 1020150121028A KR 20150121028 A KR20150121028 A KR 20150121028A KR 20160028370 A KR20160028370 A KR 20160028370A
Authority
KR
South Korea
Prior art keywords
region
etching
gas
plasma
frequency power
Prior art date
Application number
KR1020150121028A
Other languages
English (en)
Other versions
KR102361782B1 (ko
Inventor
마주 도무라
마사노부 혼다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160028370A publication Critical patent/KR20160028370A/ko
Application granted granted Critical
Publication of KR102361782B1 publication Critical patent/KR102361782B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대해 선택적으로 에칭하는 방법을 제공한다. 일 실시형태의 방법은, (a) 제 1 영역 및 제 2 영역을 갖는 피처리체를, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마에 노출시키는 제 1 공정으로서, 제 1 영역을 에칭하고, 또한 제 1 영역 및 제 2 영역 상에 플루오로카본을 포함하는 퇴적물을 형성하는, 해당 제 1 공정과, (b) 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역을 에칭하는 제 2 공정을 포함한다. 이 방법의 제 1 공정에서는 플라즈마가 펄스 형상의 고주파 전력에 의해 생성된다. 또한, 제 1 공정과 제 2 공정이 교대로 반복된다.

Description

에칭 방법{ETCHING METHOD}
본 발명의 실시형태는 에칭 방법에 관한 것이다.
전자 디바이스의 제조에 있어서는, 절연층인 실리콘 산화막에 대해 홀이나 트렌치 등을 에칭에 의해 형성하는 처리를 실행하는 경우가 있다. 실리콘 산화막의 에칭에서는, 미국 특허 제 7,708,859 호 명세서에 기재되어 있는 바와 같이, 일반적으로는, 플루오로카본 가스의 플라즈마에 피처리체가 노출되는 것에 의해, 실리콘 산화막이 에칭된다.
플루오로카본 가스의 플라즈마를 이용한 에칭에서는, 불소의 활성종에 의해 실리콘 산화막이 에칭된다. 또한, 해당 에칭에서는, 플루오로카본이 실리콘 산화막에 부착되어 퇴적물을 형성한다.
미국 특허 제 7,708,859 호 명세서
상술한 실리콘 산화막의 에칭에서는, 퇴적물의 막 두께가 서서히 증가된다. 퇴적물의 막 두께가 커지면, 실리콘 산화막을 에칭할 수 있는 활성종이 실리콘 산화막에 도달하는 것이 저해된다. 이에 의해, 실리콘 산화막의 에칭이 도중에 진행되지 않게 된다. 결과적으로, 실리콘 산화막의 에칭 레이트가 저하하게 된다.
한편, 피처리체에는 산화실리콘으로 구성된 제 1 영역과 질화실리콘으로 구성된 제 2 영역을 갖는 것이 있다. 이러한 피처리체의 제 1 영역을 제 2 영역에 대해 선택적으로 에칭하는 것에 대한 요구가 있다. 상술한 플루오로카본 가스의 플라즈마에 의하면, 퇴적물이 제 1 영역 상보다 제 2 영역 상에서 두껍게 형성되므로, 제 2 영역의 에칭 레이트보다 제 1 영역의 에칭 레이트를 높일 수 있다.
그렇지만, 에칭의 개시 시에는, 제 2 영역 상에는 퇴적물이 형성되어 있지 않다. 따라서, 에칭의 개시 시에 제 2 영역이 에칭된다.
이러한 상황에서, 산화실리콘으로 구성된 제 1 영역의 에칭 레이트의 저하를 억제하고, 또한, 질화실리콘으로 구성된 제 2 영역에 대한 제 1 영역의 에칭의 선택성을 향상시키는 것이 필요하게 된다.
일 태양에서는, 산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대해 선택적으로 에칭하는 방법이 제공된다. 이러한 방법은, (a) 제 1 영역 및 제 2 영역을 갖는 피처리체를, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마에 노출시키는 제 1 공정으로서, 제 1 영역을 에칭하고, 또한 제 1 영역 및 제 2 영역 상에 플루오로카본을 포함하는 퇴적물을 형성하는, 해당 제 1 공정과, (b) 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역을 에칭하는 제 2 공정을 포함한다. 이러한 방법의 제 1 공정에서는, 플라즈마가 펄스 형상의 고주파 전력에 의해 생성된다. 또한, 제 1 공정과 제 2 공정이 교대로 반복된다.
이러한 방법에서는, 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역이 에칭되므로, 해당 제 1 영역의 에칭의 정지가 억제된다. 따라서, 제 1 영역의 에칭 레이트의 저하를 억제할 수 있다. 또한, 제 1 공정에서는, 플라즈마가 펄스 형상의 고주파 전력에 의해 생성된다. 이러한 고주파 전력에 의해 생성되는 플라즈마에서는, 펄스의 오프 시간 중에 이온 플럭스보다 라디칼 플럭스가 늦게 감쇠한다. 따라서, 라디칼만이 조사되는 시간이 존재하는 것에 의해 이온 플럭스의 비율이 낮아진다. 즉, 이온보다 라디칼이 많이 생성된다. 퇴적물로 덮이지 않은 상태의 제 2 영역이 에칭되는 것이 억제된다. 따라서, 이러한 방법에 의하면, 제 1 영역의 에칭 레이트의 저하를 억제하고, 또한, 제 2 영역에 대한 제 1 영역의 에칭의 선택성을 향상시키는 것이 가능해진다.
일 실시형태의 제 1 공정에서는, 피처리체에 이온을 인입하기 위해서 펄스 형상의 고주파 바이어스 전력이 공급되어도 좋다. 일 실시형태의 제 1 공정에서는, 고주파 바이어스 전력과 고주파 전력이 동기하고 있어도 좋다.
또한, 일 실시형태의 제 2 공정에서는, 연속적으로 공급되는 고주파 전력에 의해 희가스의 플라즈마가 생성될 수 있다.
이상 설명한 바와 같이, 산화실리콘으로 구성된 제 1 영역의 에칭 레이트의 저하를 억제하고, 또한, 질화실리콘으로 구성된 제 2 영역에 대한 제 1 영역의 에칭의 선택성을 향상시키는 것이 가능해진다.
도 1은 일 실시형태에 따른 에칭 방법을 도시하는 흐름도,
도 2는 일 실시형태에 따른 플라즈마 처리 장치를 도시하는 도면,
도 3은 도 1에 도시하는 방법의 각 공정의 전후의 피처리체 상태를 도시하는 단면도,
도 4는 공정(ST1)에 있어서의 고주파 전력의 파형 및 고주파 바이어스 전력의 파형을 도시하는 도면,
도 5는 도 1에 도시하는 방법의 처리 대상의 다른 일 예를 도시하는 단면도,
도 6은 실험 결과를 도시하는 도면,
도 7은 실험 결과를 도시하는 도면.
이하, 도면을 참조하여 여러 가지의 실시형태에 대해 상세하게 설명한다. 또한, 각 도면에서 동일 또는 대응한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
도 1은 일 실시형태에 따른 에칭 방법을 도시하는 흐름도이다. 도 1에 도시하는 방법(MT)의 처리 대상인 피처리체(이하, "웨이퍼"라 하는 경우가 있음)는, 산화실리콘으로 구성된 제 1 영역, 및 질화실리콘으로 구성된 제 2 영역을 갖는 웨이퍼이다. 도 1에 도시하는 방법(MT)은, 이들 웨이퍼 (W)에 대해 공정(ST1) 및 공정(ST2)을 교대로 적용한다. 이에 의해, 방법(MT)은, 제 2 영역에 대해 제 1 영역을 선택적으로 에칭한다.
이하, 도 1에 도시하는 방법(MT)을 상세하게 설명하기 전에, 해당 방법(MT)의 실시에 이용할 수 있는 플라즈마 처리 장치에 대해 설명한다. 도 2는 일 실시형태에 따른 플라즈마 처리 장치를 도시하는 도면이다. 도 2에 도시하는 플라즈마 처리 장치(10)는 용량 결합형 플라즈마 에칭 장치이며, 대략 원통 형상의 처리 용기(12)를 구비하고 있다. 처리 용기(12)의 내벽면은, 예컨대 양극 산화 처리된 알루미늄으로 구성되어 있다. 이 처리 용기(12)는 보안 접지되어 있다.
처리 용기(12)의 바닥부 상에는 대략 원통 형상의 지지부(14)가 마련되어 있다. 지지부(14)는, 예컨대 절연 재료로 구성되어 있다. 지지부(14)는 처리 용기(12) 내에서 처리 용기(12)의 바닥부로부터 연직 방향으로 연장되어 있다. 또한, 처리 용기(12) 내에는 탑재대(PD)가 마련되어 있다. 탑재대(PD)는 지지부(14)에 의해 지지되어 있다.
탑재대(PD)는 그 상면에서 웨이퍼(W)를 보지한다. 탑재대(PD)는 하부 전극(LE) 및 정전 척(ESC)을 갖고 있다. 하부 전극(LE)은 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함하고 있다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예컨대 알루미늄과 같은 금속으로 구성되어 있으며, 대략 원반 형상을 이루고 있다. 제 2 플레이트(18b)는 제 1 플레이트(18a) 상에 마련되어 있으며, 제 1 플레이트(18a)에 전기적으로 접속되어 있다.
제 2 플레이트(18b) 상에는 정전 척(ESC)이 마련되어 있다. 정전 척(ESC)은 도전막인 전극을 한쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있다. 정전 척(ESC)의 전극에는 직류 전원(22)이 스위치(23)를 거쳐서 전기적으로 접속되어 있다. 이 정전척(ESC)은 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 웨이퍼(W)를 흡착한다. 이에 의해, 정전 척(ESC)은 웨이퍼(W)를 보지할 수 있다.
제 2 플레이트(18b)의 주연부 상에는 웨이퍼(W)의 에지 및 정전 척(ESC)을 둘러싸도록 포커스 링(FR)이 배치되어 있다. 포커스 링(FR)은 에칭의 균일성을 향상시키기 위해서 마련되어 있다. 포커스 링(FR)은 에칭 대상인 막의 재료에 따라 적절히 선택되는 재료로 구성되어 있으며, 예컨대, 석영으로 구성될 수 있다.
제 2 플레이트(18b)의 내부에는 냉매 유로(24)가 마련되어 있다. 냉매 유로(24)는 온도 조절 기구를 구성하고 있다. 냉매 유로(24)에는 처리 용기(12)의 외부에 마련된 칠러 유닛으로부터 배관(26a)을 거쳐서 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는 배관(26b)을 거쳐서 칠러 유닛으로 되돌아간다. 이와 같이, 냉매 유로(24)에는 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의해 지지된 웨이퍼(W)의 온도가 제어된다.
또한, 플라즈마 처리 장치(10)에는 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를 정전 척(ESC)의 상면과 웨이퍼(W)의 이면과의 사이에 공급한다.
또한, 플라즈마 처리 장치(10)는 상부 전극(30)을 구비하고 있다. 상부 전극(30)은 탑재대(PD)의 상방에서 해당 탑재대(PD)와 대향 배치되어 있다. 하부 전극(LE)과 상부 전극(30)은 서로 대략 평행하게 마련되어 있다. 이들 상부 전극(30)과 하부 전극(LE) 사이에는 웨이퍼(W)에 플라즈마 처리를 실행하기 위한 처리 공간(S)이 제공되어 있다.
상부 전극(30)은 절연성 차폐 부재(32)를 거쳐서 처리 용기(12)의 상부에 지지되어 있다. 일 실시형태에서는, 상부 전극(30)은 탑재대(PD)의 상면, 즉, 웨이퍼 탑재면으로부터의 연직 방향에 있어서의 거리가 가변적이도록 구성될 수 있다. 상부 전극(30)은 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간(S)에 면하고 있으며, 해당 전극판(34)에는 복수의 가스 토출 구멍(34a)이 마련되어 있다. 이 전극판(34)은, 일 실시형태에서는 실리콘으로 구성되어 있다.
전극 지지체(36)는 전극판(34)을 착탈 가능하게 지지하는 것이며, 예컨대 알루미늄과 같은 도전성 재료로 구성될 수 있다. 이 전극 지지체(36)는 수냉 구조를 가질 수 있다. 전극 지지체(36)의 내부에는 가스 확산실(36a)이 마련되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 하방으로 연장되어 있다. 또한, 전극 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 인도하는 가스 도입구(36c)가 형성되어 있으며, 이 가스 도입구(36c)에는 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는 밸브군(VG)(42) 및 유량 제어기군(FCG)(44)을 거쳐서 가스 소스군(GSG)(40)이 접속되어 있다. 가스 소스군(40)은 플루오로카본 가스의 소스, 희가스의 소스, 및 산소(O2) 가스의 소스와 같은 복수의 가스 소스를 포함하고 있다. 플루오로카본 가스는, 예컨대, C4F6 가스 및 C4F8 가스 중 적어도 1종을 포함하는 가스이다. 또한, 희가스는 Ar 가스, He 가스와 같은 각종 희가스 중 적어도 1종을 포함하는 가스이다.
밸브군(42)은 복수의 밸브를 포함하고 있으며, 유량 제어기군(44)은 매스플로우 컨트롤러와 같은 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는 각각 밸브군(42)의 대응의 밸브 및 유량 제어기군(44)의 대응의 유량 제어기를 거쳐서 가스 공급관(38)에 접속되어 있다.
또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라서 데포 실드(46)가 착탈 가능하게 마련되어 있다. 데포 실드(46)는 지지부(14)의 외주에도 마련되어 있다. 데포 실드(46)는 처리 용기(12)에 에칭 부생물(데포)이 부착되는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다.
처리 용기(12)의 바닥부측, 또한, 지지부(14)와 처리 용기(12)의 측벽과의 사이에는 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다. 이 배기 플레이트(48)의 하방, 또한, 처리 용기(12)에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는 배기관(52)을 거쳐서 배기 장치(GEU)(50)가 접속되어 있다. 배기 장치(50)는 터보 분자 펌프 등의 진공 펌프를 갖고 있으며, 처리 용기(12) 내의 공간을 소망의 진공도까지 감압할 수 있다. 또한, 처리 용기(12)의 측벽에는 웨이퍼(W)의 반입·반출구(12g)가 마련되어 있으며, 이 반입·반출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
또한, 플라즈마 처리 장치(10)는, 제 1 고주파 전원(62) 및 제 2 고주파 전원(64)을 추가로 구비하고 있다. 제 1 고주파 전원(62)은 플라즈마 생성용의 고주파 전력을 발생하는 전원이며, 27㎒ 내지 100㎒의 주파수, 일 예에 있어서는 40㎒의 고주파 전력을 발생한다. 제 1 고주파 전원(62)은 정합기(MU)(66)를 거쳐서 하부 전극(LE)에 접속되어 있다. 정합기(66)는 제 1 고주파 전원(62)의 출력 임피던스와 부하측[하부 전극(LE)측]의 입력 인피던스를 정합시키기 위한 회로이다.
제 1 고주파 전원(62)은 고주파 전력을 연속적으로 하부 전극(LE)에 공급할 수 있다. 또한, 제 1 고주파 전원(62)은 고주파 전력을 펄스 형상으로 하부 전극(LE)에 공급할 수 있다. 즉, 제 1 고주파 전원(62)은 제 1 레벨의 고주파 전력과, 해당 제 1 레벨보다 작은 제 2 레벨의 고주파 전력을 교대로 하부 전극(LE)에 공급할 수 있다. 예컨대, 제 2 레벨의 고주파 전력이란, 그 크기가 "0"인 고주파 전력일 수 있다. 이 경우에는, 제 1 고주파 전원(62)은 고주파 전력의 온(ON)과 오프(OFF)를 교대로 전환하여 공급할 수 있다. 제 1 레벨과 제 2 레벨을 교대로 전환하는 주파수는 2㎑ 내지 40㎑일 수 있다. 또한, 고주파 전력이 제 1 레벨을 취하는 기간과 제 2 레벨을 취하는 기간을 포함하는 1주기 내에서 고주파 전력이 제 1 레벨을 취하는 기간이 차지하는 비율, 즉 듀티비는 20% 이상 80% 이하일 수 있다.
제 2 고주파 전원(64)은 웨이퍼(W)에 이온을 인입하기 위한 고주파 바이어스 전력을 발생하는 전원이며, 400㎑ 내지 13.56㎒의 범위 내의 주파수, 일 예에 있어서는 3㎒의 고주파 바이어스 전력을 발생한다. 제 2 고주파 전원(64)은 정합기(MU)(68)를 거쳐서 하부 전극(LE)에 접속되어 있다. 정합기(68)는 제 2 고주파 전원(64)의 출력 임피던스와 부하측[하부 전극(LE)측]의 입력 임피던스를 정합시키기 위한 회로이다.
제 2 고주파 전원(64)은 고주파 바이어스 전력을 연속적으로 하부 전극(LE)에 공급할 수 있다. 또한, 제 2 고주파 전원(64)은 고주파 바이어스 전력을 펄스 형상으로 하부 전극(LE)에 공급할 수 있다. 즉, 제 2 고주파 전원(64)은 제 1 레벨의 고주파 바이어스 전력과, 해당 제 1 레벨보다 작은 제 2 레벨의 고주파 바이어스 전력을 교대로 하부 전극(LE)에 공급할 수 있다. 예컨대, 제 2 레벨의 고주파 바이어스 전력이란, 그 크기가 "0"인 고주파 바이어스 전력일 수 있다. 이 경우에는, 제 2 고주파 전원(64)은 고주파 바이어스 전력의 온(ON)과 오프(OFF)를 교대로 전환하여 공급할 수 있다. 또한, 제 1 레벨과 제 2 레벨을 교대로 전환하는 주파수는 2㎑ 내지 40㎑일 수 있으며, 고주파 바이어스 전력이 제 1 레벨을 취하는 기간과 제 2 레벨을 취하는 기간을 포함하는 1주기 내에서 고주파 바이어스 전력이 제 1 레벨을 취하는 기간이 차지하는 비율, 즉 듀티비는 20% 이상 80% 이하일 수 있다. 또한, 고주파 바이어스 전력의 제 1 레벨은 고주파 전력의 제 1 레벨과는 다른 레벨일 수 있다.
또한, 플라즈마 처리 장치(10)는 전원(PS)(70)을 추가로 구비하고 있다. 전원(70)은 상부 전극(30)에 접속되어 있다. 전원(70)은 처리 공간(S) 내에 존재하는 양이온을 전극판(34)에 인입하기 위한 전압을 상부 전극(30)에 인가한다. 일 예에서는, 전원(70)은 음의 직류 전압을 발생하는 직류 전원이다. 다른 일 예에 있어서, 전원(70)은 비교적 저주파의 교류 전압을 발생하는 교류 전원이어도 좋다. 전원(70)으로부터 상부 전극에 인가되는 전압은 -150V 이하의 전압일 수 있다. 즉, 전원(70)에 의해 상부 전극(30)에 인가되는 전압은 절대값이 150V 이상인 음의 전압일 수 있다. 이러한 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간(S)에 존재하는 양이온이 전극판(34)에 충돌한다. 이에 의해, 전극판(34)으로부터 2차 전자 및/또는 실리콘이 방출된다. 방출된 실리콘은 처리 공간(S) 내에 존재하는 불소의 활성종과 결합하여, 불소의 활성종의 양을 저감시킨다.
또한, 일 실시형태에서는, 플라즈마 처리 장치(10)는 제어부(Cnt)를 추가로 구비할 수 있다. 이 제어부(Cnt)는 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각 부분을 제어한다. 이 제어부(Cnt)에서는, 입력 장치를 이용하여, 오퍼레이터가 플라즈마 처리 장치(10)를 관리하기 위해서 커멘드의 입력 조작 등을 실행할 수 있으며, 또한, 표시 장치에 의해, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시할 수 있다. 나아가, 제어부(Cnt)의 기억부에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 프로세서에 의해 제어하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치(10)의 각 부분에 처리를 실행시키기 위한 프로그램, 즉, 처리 레시피가 격납된다.
이하, 다시 도 1을 참조하여, 방법(MT)에 대해 상세하게 설명한다. 또한, 이하의 설명에서는, 도 1에 부가하여, 도 3 및 도 4를 참조한다. 도 3은 도 1에 도시하는 방법의 각 공정의 전후의 피처리체의 상태를 도시하는 단면도이다. 도 4는 공정(ST1)에 있어서의 고주파 전력의 파형 및 고주파 바이어스 전력의 파형을 도시하는 도면이다.
도 1에 도시하는 방법(MT)은 도 3의 (a)에 도시하는 일 예의 웨이퍼(W)에 대해 적용될 수 있다. 도 3의 (a)에 도시하는 웨이퍼(W)는 기판(SB), 제 1 영역(R1) 및 제 2 영역(R2)을 포함하고 있다. 제 1 영역(R1) 및 제 2 영역(R2)은 기판(SB) 상에 마련되어 있다. 제 1 영역(R1)은 산화실리콘으로 구성되어 있으며, 제 2 영역(R2)은 질화실리콘으로 구성되어 있다. 제 1 영역(R1)의 표면 및 제 2 영역(R2)의 표면은 방법(MT)의 적용 개시 전 또는 방법(MT)의 실시 도중에 동시에 노출된다.
방법(MT)에서는, 우선, 공정(ST1)(제 1 공정)이 실행된다. 공정(ST1)에서는, 웨이퍼(W)가 플루오로카본 가스를 포함하는 처리 가스의 플라즈마에 노출된다. 플루오로카본 가스는, 예컨대, C4F6 가스 및 C4F8 가스 중 적어도 1종을 포함한다. 또한, 이 처리 가스는 Ar 가스, He 가스와 같은 각종 희가스 중 적어도 1종의 희가스를 포함할 수 있다. 또한, 이 처리 가스는, 산소(O2) 가스를 포함할 수 있다. 처리 가스는 플라즈마 생성용의 고주파 전력(HF)이 공급됨으로써 발생하는 고주파 전계에 의해 여기된다. 공정(ST1)에서는, 처리 가스가 여기되는 것에 의해 플라즈마가 생성되며, 생성된 플라즈마에 웨이퍼(W)가 노출된다.
도 4에 도시하는 바와 같이, 공정(ST1)에서는, 처리 가스의 플라즈마의 생성을 위해서, 펄스 형상으로 고주파 전력(HF)이 공급된다. 도 4에 있어서, 고주파 전력(HF)이 높은 레벨을 취하고 있는 기간은 해당 고주파 전력(HF)이 제 1 레벨을 취하고 있는 기간이다. 또한, 고주파 전력(HF)이 낮은 레벨을 취하고 있는 기간은 해당 고주파 전력(HF)이 제 2 레벨을 취하고 있는 기간이다. 공정(ST1)에 있어서, 고주파 전력(HF)의 제 1 레벨과 제 2 레벨을 교대로 전환하는 주파수는 2㎑ 내지 40㎑일 수 있으며, 듀티비는 20% 이상 80% 이하일 수 있다. 듀티비가 20% 이상인 것에 의해, 플라즈마를 유지하는 것이 가능해진다. 또한, 듀티비가 80% 이하, 또한, 보다 작은 비인 것에 의해, 제 2 영역(R2)의 에칭을 보다 효과적으로 억제하는 것이 가능해진다.
일 실시형태의 공정(ST1)에서는, 웨이퍼(W)에 플라즈마 중의 이온을 인입하기 위한 고주파 바이어스 전력(LF)이 해당 공정(ST1)의 실행 기간 중에 연속적으로 공급될 수 있다. 다른 실시형태의 공정(ST1)에서는, 고주파 바이어스 전력(LF)도 펄스 형상으로 공급될 수 있다. 도 4에 있어서, 고주파 바이어스 전력(LF)이 높은 레벨을 취하고 있는 기간은 해당 고주파 바이어스 전력(LF)이 제 1 레벨을 취하고 있는 기간이다. 또한, 고주파 바이어스 전력(LF)이 낮은 레벨을 취하고 있는 기간은 해당 고주파 바이어스 전력(LF)이 제 2 레벨을 취하고 있는 기간이다. 공정(ST1)에 있어서, 고주파 바이어스 전력(LF)의 제 1 레벨과 제 2 레벨을 교대로 전환하는 주파수는 2㎑ 내지 40㎑일 수 있으며, 듀티비는 20% 이상 80% 이하일 수 있다. 또한, 공정(ST1)에 있어서 고주파 바이어스 전력(LF)은 고주파 전력(HF)에 동기하고 있어도 좋다. 또는, 공정(ST1)에 대해 고주파 바이어스 전력(LF)은 고주파 전력(HF)에 동기하고 있지 않아도 좋다.
플라즈마 처리 장치(10)를 이용하여 공정(ST1)을 실시하는 경우에는, 가스 소스군(40)으로부터 처리 가스가 처리 용기(12) 내에 공급된다. 또한, 공정(ST1)에서는, 제 1 고주파 전원(62)으로부터 고주파 전력이 펄스 형상으로 하부 전극(LE)에 공급된다. 또한, 공정(ST1)에서는, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 연속적으로 또는 펄스 형상으로 하부 전극(LE)에 공급될 수 있다. 또한, 공정(ST1)에서는, 배기 장치(50)에 의해 처리 용기(12) 내의 공간의 압력이 소정의 압력으로 설정된다. 예컨대, 처리 용기(12) 내의 공간의 압력은 20mTorr(2.666Pa) 내지 50mTorr(6.666Pa)의 범위 내의 압력으로 설정된다. 이에 의해, 처리 용기(12) 내에서 처리 가스의 플라즈마가 생성되며, 탑재대(PD) 상에 탑재된 웨이퍼(W)가 해당 플라즈마에 노출된다. 공정(ST1)에서는, 상부 전극(30)에 전원(70)으로부터의 음의 직류 전압이 인가되어도 좋다. 전원(70)에 의해 상부 전극(30)에 인가되는 전압은 -150V 이하의 전압일 수 있다. 즉, 전원(70)에 의해 상부 전극(30)에 인가되는 전압은 절대값이 150V 이상인 음의 전압일 수 있다. 이 공정(ST1)의 실행 시의 플라즈마 처리 장치(10)의 각 부분의 동작은 제어부(Cnt)에 의해 제어될 수 있다.
공정(ST1)에서는, 초기적으로는 도 3의 (a)에 도시하는 상태의 웨이퍼(W)의 제 1 영역(R1)에, 플루오로카본에 유래하는 원자 및/또는 분자의 활성종, 예컨대, 불소 및/또는 플루오로카본의 활성종이 충돌한다. 이에 의해, 공정(ST1)에서는, 제 1 영역(R1)이 에칭된다. 또한, 공정(ST1)에서는, 플루오로카본을 포함하는 퇴적물이 제 1 영역(R1)에 부착된다. 이에 의해, 도 3의 (b)에 도시하는 바와 같이, 플루오로카본을 포함하는 퇴적물(DP)이 제 1 영역(R1) 상에 형성된다. 이 퇴적물(DP)의 막 두께는 공정(ST1)의 실행 시간의 경과에 따라 증가된다.
또한, 공정(ST1)에서는, 초기적으로는 도 3의 (a)에 도시하는 상태의 웨이퍼(W)의 제 2 영역(R2)에도, 플루오로카본에 유래하는 원자 및/또는 분자의 활성종, 예컨대, 불소 및/또는 플루오로카본의 활성종이 충돌한다. 이러한 활성종이 제 2 영역(R2)에 충돌하면, 도 3의 (b)에 도시하는 바와 같이, 플루오로카본 퇴적물이 변질된 카본 함유 비율이 높은 보호막(TR)이 제 2 영역(R2)의 표면에 형성될 수 있다. 보호막(TR)은 제 2 영역(R2)을 구성하는 실리콘 및 질소, 처리 가스에 포함되는 원자 및/또는 분자를 함유한다. 예컨대, 보호막(TR)은 실리콘 및 질소에 부가하여, 처리 가스에 포함되는 탄소, 불소, 및 산소를 함유할 수 있다. 또한, 공정(ST1)에서는, 도 3의 (b)에 도시하는 바와 같이, 보호막(TR) 상에 퇴적물(DP)이 형성된다.
또한, 공정(ST1)에 있어서 전원(70)으로부터의 전압이 상부 전극(30)에 인가되는 경우에는, 처리 공간(S)에 존재하는 양이온이 전극판(34)에 충돌한다. 이에 의해, 전극판(34)으로부터 실리콘이 방출된다. 방출된 실리콘은 처리 공간(S) 내에 존재하는 불소의 활성종, 예컨대, 불소 라디칼 및 불소 이온과 결합하여, 불소의 활성종의 양을 저감시킨다. 이에 의해, 카본 함유 비율이 높은 보호막(TR)의 형성 속도가 증가하여, 불소의 활성종에 의한 제 2 영역(R2)의 에칭이 억제된다. 한편, 제 1 영역(R1)은 플라즈마 중의 플루오로카본의 활성종에 의해 에칭되며, 또한, 해당 제 1 영역(R1) 상에는 플루오로카본의 활성종에 의해 플루오로카본의 퇴적물(DP)이 형성된다.
또한, 공정(ST1)에서는, 상술한 바와 같이, 고주파 전력(HF)이 펄스 형상으로 공급된다. 고주파 전력(HF)이 펄스 형상으로 공급되는 경우에는, 펄스의 오프 시간 중에 이온 플럭스보다 라디칼 플럭스가 늦게 감쇠한다. 따라서, 라디칼만이 조사되는 시간이 존재함으로써 이온 플럭스의 비율이 낮아진다. 즉, 이온보다 라디칼이 많이 생성된다. 이에 의해, 퇴적물(DP)에 덮이지 않은 상태의 제 2 영역(R2)이 에칭되는 것이 억제된다.
이러한 공정(ST1)의 처리에 의해 제 1 영역(R1) 상에 형성된 퇴적물(DP)의 막 두께가 커지면, 제 1 영역(R1)을 에칭할 수 있는 활성종이 제 1 영역(R1)에 도달하는 것이 해당 퇴적물(DP)에 의해 저해된다. 따라서, 공정(ST1)을 연속적으로 계속하면, 제 1 영역(R1)의 에칭이 정지한다. 이러한 에칭의 정지를 방지하기 위해서, 방법(MT)에서는, 이어서, 공정(ST2)(제 2 공정)이 실행된다.
공정(ST2)에서는, 퇴적물(DP)에 포함되는 플루오로카본의 라디칼에 의해 제 1 영역(R1)이 에칭된다. 일 실시형태의 공정(ST2)에서는, 공정(ST1)의 처리 후의 웨이퍼(W)가 희가스의 플라즈마에 노출된다. 공정(ST2)에서는, 도 4에 도시하는 바와 같이, 고주파 전력(HF) 및 고주파 바이어스 전력의 쌍방이 해당 공정(ST2)의 실행 기간 중에 연속적으로 공급된다. 이 공정(ST2)의 처리 시간과 공정(ST1)의 처리 시간은 임의로 설정될 수 있다. 일 실시형태에서는, 공정(ST1)의 처리 시간과 공정(ST2)의 처리 시간의 합계에 있어서 공정(ST1)의 처리 시간이 차지하는 비율은 30% 내지 70%의 범위 내의 비율로 설정될 수 있다.
플라즈마 처리 장치(10)를 이용하여 공정(ST2)을 실시하는 경우에는, 가스 소스군(40)으로부터 희가스가 공급된다. 또한, 공정(ST2)에서는, 희가스에 부가하여 산소 가스(O2 가스)가 공급되어도 좋고, 혹은, 산소 가스가 공급되지 않아도 좋다. 또한, 공정(ST2)에서는, 제 1 고주파 전원(62)으로부터의 고주파 전력이 하부 전극(LE)에 연속적으로 공급된다. 또한, 공정(ST2)에서는, 제 2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극(LE)에 연속적으로 공급될 수 있다. 또한, 공정(ST2)에서는, 배기 장치(50)에 의해 처리 용기(12) 내의 공간의 압력이 소정의 압력으로 설정된다. 예컨대, 처리 용기(12) 내의 공간의 압력은 20mTorr(2.666Pa) 내지 50mTorr(6.666Pa)의 범위 내의 압력으로 설정된다. 이에 의해, 처리 용기(12) 내에 있어서, 희가스의 플라즈마가 생성되며, 탑재대(PD) 상에 탑재된 웨이퍼(W)가 해당 플라즈마에 노출된다. 또한, 공정(ST2)에서는, 상부 전극(30)에 전원(70)으로부터의 음의 직류 전압이 인가되어도 좋다. 전원(70)에 의해 상부 전극(30)에 인가되는 전압은 -150V 이하의 전압일 수 있다. 즉, 전원(70)에 의해 상부 전극(30)에 인가되는 전압은 절대값이 150V 이상인 음의 전압일 수 있다. 이 공정(ST2)의 실행 시의 플라즈마 처리 장치(10)의 각 부분의 동작은 제어부(Cnt)에 의해 제어될 수 있다.
공정(ST2)에서는, 희가스 원자의 활성종, 예컨대, 희가스 원자의 이온이 퇴적물(DP)에 충돌한다. 이에 의해, 퇴적물(DP) 중의 플루오로카본의 라디칼이 제 1 영역(R1)의 에칭을 진행시킨다. 또한, 이 공정(ST2)에 의해 제 1 영역(R1) 상의 퇴적물(DP)의 막 두께가 감소한다. 또한, 공정(ST2)에서는, 제 2 영역(R2) 상의 퇴적물(DP)의 막 두께도 감소한다. 다만, 제 2 영역(R2) 상에는 보호막(TR)이 존재하므로, 제 2 영역(R2)의 에칭은 억제된다. 이러한 공정(ST2)의 실행에 의해, 웨이퍼(W)는 도 3의 (c)에 도시하는 상태가 된다.
또한, 공정(ST2)에 있어서 전원(70)으로부터의 전압이 상부 전극(30)에 인가되는 경우에는, 처리 공간(S)에 존재하는 양이온이 전극판(34)에 충돌한다. 이에 의해, 전극판(34)으로부터 실리콘이 방출된다. 공정(ST2)의 실행 기간 중에는, 공정(ST1)에서 생성된 불소의 활성종이 제거되지 않고 처리 용기(12) 내에 잔존할 수 있다. 전극판(34)으로부터 방출된 실리콘은 처리 공간(S) 내에 존재하는 불소의 활성종과 결합하여, 불소의 활성종의 양을 저감시킨다. 이에 의해, 불소의 활성종에 의한 제 2 영역(R2)의 에칭이 억제된다. 한편, 제 1 영역(R1)은 퇴적물(DP) 중의 플루오로카본의 라디칼에 의해 에칭된다.
또한, 공정(ST2)에서 산소 가스가 공급되지 않는 경우에는, 제 1 영역(R1)이 제 2 영역(R2)에 대해 추가로 선택적으로 에칭될 수 있다.
방법(MT)에서는, 공정(ST2)의 실행 후, 다시 공정(ST1)이 실행된다. 앞의 공정(ST2)의 실행에 의해 퇴적물(DP)의 막 두께가 감소하고 있으므로, 다시 공정(ST1)을 실행하여 상술한 처리 가스의 플라즈마에 웨이퍼(W)를 노출시키면, 제 1 영역(R1)을 추가로 에칭할 수 있다. 그 후, 추가로 공정(ST2)을 실행함으로써, 퇴적물(DP) 중의 플루오로카본 라디칼에 의해 제 1 영역(R1)을 에칭할 수 있다.
방법(MT)에서는, 공정(ST3)에서 정지 조건을 만족하는지의 여부가 판정된다. 정지 조건은, 예컨대, 공정(ST1) 및 공정(ST2)을 포함하는 사이클의 반복 횟수가 소정 횟수에 도달했을 때에 만족된 것으로 판정된다. 정지 조건이 만족되지 않은 경우에는, 공정(ST1) 및 공정(ST2)을 포함하는 사이클이 다시 실행된다. 한편, 정지 조건이 만족되는 경우에는, 방법(MT)이 종료된다.
이상 설명한 방법(MT)에서는, 공정(ST1) 및 공정(ST2)을 교대로 복수회 실행함으로써, 제 1 영역(R1)의 에칭의 정지를 방지할 수 있다. 그 결과, 제 1 영역(R1)의 에칭을 계속할 수 있다. 또한, 방법(MT)에서는, 제 1 영역(R1)을 제 2 영역(R2)에 대해 선택적으로 에칭할 수 있다. 특히, 방법(MT)에서는, 공정(ST1)에서 펄스 형상으로 고주파 전력(HF)이 공급되므로, 퇴적물(DP)로 덮이지 않은 상태의 제 2 영역(R2)이 에칭되는 것이 억제된다. 따라서, 제 2 영역(R2)의 에칭에 대한 제 1 영역(R1)의 에칭의 선택성을 높일 수 있다.
도 5는 도 1에 도시하는 방법의 처리 대상의 다른 일 예를 도시하는 단면도이다. 도 5에 도시하는 웨이퍼는 제 1 영역(R1) 및 제 2 영역(R2)을 갖는 웨이퍼(W2)이며, 이 웨이퍼(W2)에 대해서도 방법(MT)을 적용할 수 있다. 구체적으로, 웨이퍼(W2)는 기초층(100), 복수의 융기 영역(102), 제 1 영역(R1), 제 2 영역(R2), 및 마스크(108)를 갖고 있다. 이 웨이퍼(W2)는, 예컨대, 핀형 전계 효과 트랜지스터의 제조 중에 얻어지는 생산물일 수 있다.
기초층(100)은, 예컨대 다결정 실리콘으로 구성될 수 있다. 기초층은 일 예에 있어서는 핀(fin) 영역이며, 대략 직방체 형상을 갖고 있다. 복수의 융기 영역(102)은, 기초층(100) 상에 마련되어 있으며, 서로 대략 평행하게 배열되어 있다. 이들 융기 영역(102)은, 예컨대 게이트 영역일 수 있다. 제 2 영역(R2)은 질화실리콘으로 구성되어 있으며, 융기 영역(102)을 덮도록 마련되어 있다. 또한, 복수의 융기 영역(102)은 제 1 영역(R1) 내에 매립되어 있다. 즉, 제 1 영역(R1)은 제 2 영역(R2)을 거쳐서 융기 영역(102)을 덮도록 마련되어 있다. 이 제 1 영역(R1)은 산화실리콘으로 구성되어 있다. 제 1 영역(R1) 상에는, 마스크(108)가 마련되어 있다. 마스크(108)는 인접하는 융기 영역(102) 사이의 상방에서 개구하는 패턴을 갖고 있다. 이 마스크(108)는 유기막으로 구성되어 있다. 또한, 마스크(108)는 포토리소그래피에 의해 제작하는 것이 가능하다.
이 웨이퍼(W2)에 대해 방법(MT)을 적용하면, 웨이퍼(W2)의 제 1 영역(R1)을 제 2 영역(R2)에 대해 선택적으로 에칭할 수 있어서, 인접하는 융기 영역(102)의 사이의 영역에서 홀을 자기정합적으로 형성할 수 있다. 특히, 에칭의 진행에 따라서 제 2 영역(R2)이 노출된 때에는 해당 제 2 영역(R2) 상에는 퇴적물(DP)이 형성되어 있지 않지만, 공정(ST1)에서 고주파 전력(HF)을 펄스 형상으로 공급함으로써, 퇴적물(DP)에 의해 덮여 있지 않은 해당 제 2 영역(R2)의 에칭을 억제할 수 있다. 또한, 제 1 영역(R1)의 에칭의 정지를 방지하면서, 해당 제 1 영역(R1)의 에칭을 진행시킬 수 있다. 이러한 방법(MT)에 의해 형성된 홀은 인접하는 융기 영역(102)의 사이의 영역을 통과하여 기초층(100)의 표면까지 연장된다. 해당 홀은, 예컨대, 핀 영역의 소스 또는 드레인에 접속하는 컨택트용의 홀이 될 수 있다.
이하, 방법(MT)의 평가를 위해서 실행한 실험예에 대해 설명한다. 이하에 설명하는 실험예는 단순히 예시를 위해서 도시하는 것이며, 본 발명을 한정하는 것은 아니다.
실험예에서는, 제 1 영역(R1) 및 제 2 영역(R2)을 갖는 웨이퍼에, 플라즈마 처리 장치(10)를 이용하여 방법(MT)을 적용했다. 실험예에서는, 공정(ST1) 및 공정(ST2)을 포함하는 사이클의 실행 횟수, 즉, 사이클 수를 여러 가지로 변경했다. 이하에 실험예의 처리 조건을 기록한다.
[실험예의 처리 조건]
<공정(ST1)>
·고주파 전력(HF) : 주파수 40㎒, 500W
·고주파 바이어스 전력(LF) : 주파수 3㎒, 50W
·전원(70)의 직류 전압 : -300V
·고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 펄스 형상의 공급의 주파수 : 20㎑
·고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 펄스 형상의 공급의 듀티비 : 60%
·처리 가스
C4F6 가스 : 32sccm
Ar 가스 : 1500sccm
O2 가스 : 20sccm
·1 사이클 중의 공정(ST1)의 실행 시간 : 1초
<공정(ST2)>
·고주파 전력(HF) : 주파수 40㎒, 500W
·고주파 바이어스 전력(LF): 주파수 3㎒, 50W
·전원(70)의 직류 전압 : -300V
·고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 펄스 형상의 공급의 주파수 : 20㎑
·고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 펄스 형상의 공급의 듀티비 : 60%
·가스
C4F6 가스 : 0sccm
Ar 가스 : 1500sccm
O2 가스 : 0sccm
·1 사이클 중의 공정(ST2)의 실행 시간 : 5초
또한, 참고를 위해 비교실험예 1, 비교실험예 2, 및 비교실험예 3을 실행했다. 비교실험예 1에서는, 공정(STa) 및 공정(STb)을 포함하는 방법을, 해당 공정(STa) 및 공정(STb)을 포함하는 사이클의 실행 횟수를 여러 가지로 변경하여, 실험예의 웨이퍼와 동일한 웨이퍼에 대해 적용했다. 또한, 공정(STa)은 고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 쌍방을 연속적으로 공급한 점만 실험예의 공정(ST1)과는 다른 공정으로 했다. 또한, 공정(STb)은 실험예의 공정(ST2)과 동일한 공정으로 했다. 즉, 비교실험예 1에서는, 공정(STa) 및 공정(STb)의 쌍방에 있어서 고주파 전력(HF) 및 고주파 바이어스 전력(LF)을 연속적으로 공급했다.
비교실험예 2에서는, 공정(STc) 및 공정(STd)을 포함하는 방법을, 해당 공정(STc) 및 공정(STd)을 포함하는 사이클의 실행 횟수를 여러 가지로 변경하여, 실험예의 웨이퍼와 동일한 웨이퍼에 대해 적용했다. 또한, 공정(STc)은 실험예의 공정(ST1)과 동일한 공정으로 했다. 또한, 공정(STd)은 고주파 전력(HF) 및 고주파 바이어스 전력(LF)의 쌍방을 펄스 형상으로 공급한 점만 실험예의 공정(ST2)과는 다른 공정으로 했다. 즉, 비교실험예 2에서는, 공정(STc) 및 공정(STd)의 쌍방에 있어서 고주파 전력(HF) 및 고주파 바이어스 전력(LF)을 펄스 형상으로 공급했다.
비교실험예 3에서는, 공정(STa)의 실행 시간을 1.5초로 설정하고, 그 이외의 처리 조건은 비교실험예 1의 처리 조건과 동일한 처리 조건 방법을, 실험예와 동일한 웨이퍼에 적용했다.
그리고, 실험예, 비교실험예 1, 비교실험예 2, 및 비교실험예 3의 각각을 적용한 후의 웨이퍼로부터 제 1 영역(R1)의 에칭량, 즉 제 1 영역(R1)의 막 두께 감소량과, 제 2 영역(R2)의 막 두께 감소량을 구했다. 도 6 및 도 7은 실험 결과를 도시하는 도면이다. 도 6에 있어서 횡축은 사이클 수이며, 종축은 제 1 영역의 에칭량이다. 또한, 도 7에 있어서 횡축은 사이클 수이며, 종축은 제 2 영역의 막 두께 감소량이다.
도 6에 도시하는 바와 같이, 실험예, 즉, 공정(ST1)에만 고주파 전력(HF)을 펄스 형상으로 공급하는 방법(MT)에 의하면, 비교실험예 2 및 비교실험예 3보다 제 1 영역의 에칭량을 크게 유지할 수 있는 것이 확인되었다. 즉, 실험예에 의하면, 비교실험예 1과 대략 동등한 제 1 영역의 에칭량을 얻을 수 있었다. 또한, 도 7에 도시하는 바와 같이, 실험예에 의하면, 제 2 영역의 막 두께 감소량을, 비교실험예 1보다 상당히 저감할 수 있는 것이 확인되었다. 따라서, 실험예에 의하면, 제 1 영역의 에칭 레이트의 저하를 억제하고, 또한, 제 2 영역에 대한 제 1 영역의 에칭의 선택성을 향상시킬 수 있는 것이 확인되었다
10 : 플라즈마 처리 장치 12 : 처리 용기
PD : 탑재대 ESC : 정전 척
LE : 하부 전극 30 : 상부 전극
40 : 가스 소스군 50 : 배기 장치
62 : 제 1 고주파 전원 64 : 제 2 고주파 전원
70 : 전원 W, W2 : 웨이퍼
DP : 퇴적물 R1 : 제 1 영역
R2 : 제 2 영역 HF : 고주파 전력
LF : 고주파 바이어스 전력 W : 웨이퍼
W2 : 웨이퍼 R1 : 제 1 영역
R2 : 제 2 영역 DP : 퇴적물

Claims (4)

  1. 산화실리콘으로 구성된 제 1 영역을 질화실리콘으로 구성된 제 2 영역에 대해 선택적으로 에칭하는 방법에 있어서,
    상기 제 1 영역 및 상기 제 2 영역을 갖는 피처리체를, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마에 노출시키는 제 1 공정으로서, 상기 제 1 영역을 에칭하고, 또한 상기 제 1 영역 및 상기 제 2 영역 상에 플루오로카본을 포함하는 퇴적물을 형성하는, 상기 제 1 공정과,
    상기 퇴적물에 포함되는 플루오로카본의 라디칼에 의해 상기 제 1 영역을 에칭하는 제 2 공정을 포함하고,
    상기 제 1 공정에서는 상기 플라즈마가 펄스 형상의 고주파 전력에 의해 생성되며,
    상기 제 1 공정과 상기 제 2 공정이 교대로 반복되는
    에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 1 공정에서 상기 피처리체에 이온을 인입하기 위해서 펄스 형상의 고주파 바이어스 전력이 공급되는
    에칭 방법.
  3. 제 2 항에 있어서,
    상기 고주파 바이어스 전력과 상기 고주파 전력이 동기하는
    에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 제 2 공정에서는 연속적으로 공급되는 고주파 전력에 의해 희가스의 플라즈마가 생성되는
    에칭 방법.
KR1020150121028A 2014-08-28 2015-08-27 에칭 방법 KR102361782B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014174004A JP6315809B2 (ja) 2014-08-28 2014-08-28 エッチング方法
JPJP-P-2014-174004 2014-08-28

Publications (2)

Publication Number Publication Date
KR20160028370A true KR20160028370A (ko) 2016-03-11
KR102361782B1 KR102361782B1 (ko) 2022-02-10

Family

ID=53773374

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150121028A KR102361782B1 (ko) 2014-08-28 2015-08-27 에칭 방법

Country Status (6)

Country Link
US (2) US9837285B2 (ko)
EP (1) EP2991103A1 (ko)
JP (1) JP6315809B2 (ko)
KR (1) KR102361782B1 (ko)
CN (1) CN105390388B (ko)
TW (2) TWI664676B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190005146A (ko) * 2016-05-10 2019-01-15 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008226A (ko) * 2016-05-19 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
US10872784B2 (en) 2017-11-16 2020-12-22 Samsung Electronics Co., Ltd. Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture
TWI828773B (zh) * 2018-10-12 2024-01-11 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
CN105810579B (zh) * 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10629447B2 (en) 2016-03-17 2020-04-21 Zeon Corporation Plasma etching method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6974088B2 (ja) 2017-09-15 2021-12-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6910320B2 (ja) * 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
CN113632208A (zh) 2019-04-05 2021-11-09 东京毅力科创株式会社 用于高度选择性氧化硅/氮化硅蚀刻的蚀刻和钝化气体组分的独立控制
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040038546A1 (en) * 2002-08-22 2004-02-26 Kei-Yu Ko Process variation resistant self aligned contact etch
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
JP2006054305A (ja) * 2004-08-11 2006-02-23 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
KR100750420B1 (ko) * 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US7361287B2 (en) * 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6221784B1 (en) * 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US20050047889A1 (en) 2003-08-25 2005-03-03 Techmech Technologies Corp. Fixing member for securing stacked plates
DE102004043233B4 (de) * 2003-09-10 2014-02-13 Denso Corporation Verfahren zum Herstellen eines beweglichen Abschnitts einer Halbleitervorrichtung
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7655570B2 (en) * 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
JP2007116031A (ja) * 2005-10-24 2007-05-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7531102B2 (en) * 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7737042B2 (en) * 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2010199429A (ja) * 2009-02-26 2010-09-09 Fujifilm Corp プラズマエッチング方法及びプラズマエッチング装置並びに液体吐出ヘッドの製造方法
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN101880914B (zh) * 2010-05-25 2012-09-12 中国科学院微电子研究所 利用等离子体浸没离子注入制备黑硅的方法
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9263283B2 (en) * 2011-09-28 2016-02-16 Tokyo Electron Limited Etching method and apparatus
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
US9396960B2 (en) * 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN103811342B (zh) * 2012-11-09 2017-08-25 中国科学院微电子研究所 鳍结构及其制造方法
JP6002556B2 (ja) * 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6295119B2 (ja) * 2014-03-25 2018-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100750420B1 (ko) * 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US20040038546A1 (en) * 2002-08-22 2004-02-26 Kei-Yu Ko Process variation resistant self aligned contact etch
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2006054305A (ja) * 2004-08-11 2006-02-23 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190005146A (ko) * 2016-05-10 2019-01-15 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008226A (ko) * 2016-05-19 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
US10872784B2 (en) 2017-11-16 2020-12-22 Samsung Electronics Co., Ltd. Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture
TWI828773B (zh) * 2018-10-12 2024-01-11 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置

Also Published As

Publication number Publication date
TW201624563A (zh) 2016-07-01
TW201929090A (zh) 2019-07-16
US20160064247A1 (en) 2016-03-03
CN105390388A (zh) 2016-03-09
CN105390388B (zh) 2018-12-25
TWI664676B (zh) 2019-07-01
US20180068865A1 (en) 2018-03-08
US9837285B2 (en) 2017-12-05
TWI692031B (zh) 2020-04-21
JP6315809B2 (ja) 2018-04-25
US9972503B2 (en) 2018-05-15
JP2016048771A (ja) 2016-04-07
KR102361782B1 (ko) 2022-02-10
EP2991103A1 (en) 2016-03-02

Similar Documents

Publication Publication Date Title
US9972503B2 (en) Etching method
KR102418244B1 (ko) 에칭 방법
KR102356211B1 (ko) 에칭 방법
US9034198B2 (en) Plasma etching method
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
US9779961B2 (en) Etching method
US9735027B2 (en) Method for etching organic film
KR20150024277A (ko) 반도체 장치의 제조 방법
KR20170000340A (ko) 에칭 방법
TWI743123B (zh) 電漿處理方法
US9418863B2 (en) Method for etching etching target layer
US10109495B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR20190008226A (ko) 에칭 방법
KR102438638B1 (ko) 플라즈마 에칭 방법
CN109075068B (zh) 蚀刻方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant