CN105390388A - 蚀刻方法 - Google Patents

蚀刻方法 Download PDF

Info

Publication number
CN105390388A
CN105390388A CN201510542345.4A CN201510542345A CN105390388A CN 105390388 A CN105390388 A CN 105390388A CN 201510542345 A CN201510542345 A CN 201510542345A CN 105390388 A CN105390388 A CN 105390388A
Authority
CN
China
Prior art keywords
area
high frequency
gas
plasma
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510542345.4A
Other languages
English (en)
Other versions
CN105390388B (zh
Inventor
户村幕树
本田昌伸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN105390388A publication Critical patent/CN105390388A/zh
Application granted granted Critical
Publication of CN105390388B publication Critical patent/CN105390388B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供相对于由氮化硅构成的第二区域有选择地蚀刻由氧化硅构成的第一区域的方法。一实施方式的方法包括:(a)第一步骤,将具有第一区域和第二区域的被处理体暴露于包含碳氟化合物气体的处理气体的等离子体中,蚀刻第一区域,且在第一区域和第二区域上形成包含碳氟化合物的堆积物;和(b)第二步骤,利用堆积物中包含的碳氟化合物的自由基蚀刻第一区域。在该方法的第一步骤中,等离子体由脉冲状的高频电力生成。此外,交替反复进行第一步骤和第二步骤。

Description

蚀刻方法
技术领域
本发明的实施方式涉及蚀刻方法。
背景技术
在电子器件的制造中,有时进行通过蚀刻对作为绝缘层的氧化硅膜形成孔、槽等的处理。在氧化硅膜的蚀刻中,如美国专利第7708859号说明书中记载的内容,一般情况下,通过将被处理体暴露在碳氟化合物气体的等离子体中,来蚀刻氧化硅膜。
在使用碳氟化合物气体的等离子体的蚀刻中,利用氟的活性种蚀刻氧化硅膜。此外,该蚀刻中,碳氟化合物附着在氧化硅膜上而形成堆积物。
现有技术文献
专利文献
专利文献1:美国专利第7708859号说明书
发明内容
发明要解决的课题
在上述的氧化硅膜的蚀刻中,堆积物的膜厚渐渐增加。当堆积物的膜厚变大时,阻碍能够蚀刻氧化硅膜的活性种到达氧化硅膜。因此,氧化硅膜的蚀刻在中途变得不能进行。结果是,氧化硅膜的蚀刻速率降低。
另一方面,有时在被处理体具有由氧化硅构成的第一区域和由氮化硅构成的第二区域。要求相对于这样的被处理体的第二区域有选择地蚀刻第一区域。根据上述的碳氟化合物气体的等离子体,与第一区域上相比在第二区域上较厚地形成堆积物,所以能够将第一区域的蚀刻速率提高得比第二区域的蚀刻速率高。
但是,在蚀刻开始时,在第二区域上没有形成堆积物。因而,在蚀刻开始时第二区域被蚀刻。
根据这样得到状况,需要抑制由氧化硅构成的第一区域的蚀刻速率的降低,且使第一区域相对于由氮化硅构成的第二区域的蚀刻的选择性。
用于解决课题的技术方案
一方式中,提供相对于由氮化硅构成的第二区域有选择地蚀刻由氧化硅构成的第一区域的方法。该方法包括:(a)将具有第一区域和第二区域的被处理体暴露与包含碳氟化合物气体的处理气体的等离子体中的第一步骤,对第一区域进行蚀刻,且在第一区域和第二区域上形成包含碳氟化合物的堆积物;和(b)利用堆积物中包含的碳氟化合物的自由基蚀刻第一区域的第二步骤。该方法的第一步骤中,由脉冲状的高频电力生成等离子体。此外,交替反复进行第一步骤和第二步骤。
该方法中,由于利用堆积物中包含的碳氟化合物的自由基蚀刻第一区域,所以该第一区域的蚀刻的停止受到抑制。因而,能够抑制第一区域的蚀刻速率的降低。此外,在第一步骤中,由脉冲状的高频电力生成等离子体。在由这样的高频电力生成的等离子体中,在脉冲的断开时间中与离子通量相比自由基通量较慢地衰减。因而,因存在仅照射自由基的时间,离子通量的比率变低。即,与离子相比较多地生成自由基。能够抑制没有被堆积物覆盖的状态的第二区域被蚀刻。因此,根据该方法,能够抑制第一区域的蚀刻速率的降低,且提高第一区域相对于第二区域的蚀刻的选择性。
在一实施方式的第一步骤中,为了将离子引入到被处理体可以供给脉冲状的高频偏置电力。在一实施方式的第一步骤中,可以使高频偏置电力和高频电力同步。
此外,在一实施方式的第二步骤中,利用连续供给的高频电力能够生成稀有气体的等离子体。
发明效果
如以上说明,能够抑制由氧化硅构成的第一区域的蚀刻速率的降低,且能够提高第一区域相对于由氮化硅构成的第二区域的蚀刻的选择性。
附图说明
图1是表示一实施方式的蚀刻方法的流程图。
图2是表示一实施方式的等离子体处理装置的图。
图3是表示图1所示的方法的各步骤前后的被处理体的状态的截面图。
图4是表示步骤ST1中的高频电力的波形和高频偏置电力的波形的图。
图5是表示图1所示的方法的处理对象的另一例的截面图。
图6是表示实验结果的图。
图7是表示实验结果的图。
附图标记说明
10…等离子体处理装置;12…处理容器;PD…载置台;ESC…静电卡盘;LE…下部电极;30…上部电极;40…气体源组;50…排气装置;62…第一高频电源;64…第二高频电源;70…电源;W,W2…晶片;DP…堆积物;R1…第一区域;R2…第二区域;HF…高频电力;LF…高频偏置电力;W…晶片;W2…晶片;R1…第一区域;R2…第二区域;DP…堆积物。
具体实施方式
以下,参照图面详细说明各种实施方式。再者,在各附图中对相同或者相当的部分添加相同的附图标记。
图1是表示一实施方式的蚀刻方法的流程图。作为图1所示的方法MT的处理对象的被处理体(以下有时称为“晶片”),是包括由氧化硅构成的第一区域和由氮化硅构成的第二区域的晶片。图1所示的方法MT对这样的晶片W交替地实施步骤ST1和步骤ST2。由此,方法MT相对于第二区域有选择地蚀刻第一区域。
以下,在详细说明图1所示的方法MT之前,说明能够在该方法MT的实施中使用的等离子体处理装置。图2是表示一实施方式的等离子体处理装置的图。图2所示的等离子体处理装置10是电容耦合型等离子体蚀刻装置,具有大致圆筒状的处理容器12。处理容器12的内壁面例如由经阳极氧化处理的铝构成。该处理容器12安全接地。
在处理容器12的底部上设置有大致略圆筒状的支承部14。支承部14例如由绝缘材料构成。支承部14在处理容器12内从处理容器12的底部在铅直方向延伸。此外,在处理容器12内设置有载置台PD。载置台PD由支承部14支承。
载置台PD在其上表面保持晶片W。载置台PD具有下部电极LE和静电卡盘ESC。下部电极LE包括第一板18a和第二板18b。第一板18a和第二板18b例如由铝等金属构成,为大致圆盘形状。第二板18b设置于第一板18a上,与第一板18a电连接。
在第二板18b上设置有静电卡盘ESC。静电卡盘ESC具有将由导电膜构成的电极配置在一对绝缘层或者绝缘薄板间的构造。静电卡盘ESC的电极经由开关23与直流电源22电连接。该静电卡盘ESC利用由来自直流电源22的直流电压产生的库仑力等静电力吸附晶片W。由此,静电卡盘ESC能够保持晶片W。
在第二板18b的周缘部上以包围晶片W的边缘和静电卡盘ESC的方式配置有聚焦环FR。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR根据蚀刻对象的膜的材料能够由适当选择的材料构成,例如能够由石英构成。
在第二板18b的内部设置有制冷剂流路24。制冷剂流路24构成温度调节机构。从设置于处理容器12的外部的冷却装置经由配管26a对制冷剂流路24供给制冷剂。供给到制冷剂流路24的制冷剂经由配管26b返回到冷却装置。这样,制冷剂以循环的方式供给到制冷剂流路24。通过控制该制冷剂的温度,能够控制由静电卡盘ESC支承的晶片W的温度。
此外,在等离子体处理装置10设置有气体供给线路28。气体供给线路28将来自传热气体供给机构的传热气体例如He气体供给到静电卡盘ESC的上表面与晶片W的背面之间。
此外,等离子体处理装置10具有上部电极30。上部电极30在载置台PD的上方与该载置台PD相对配置。下部电极LE和上部电极30相互大致平行地设置。对这些上部电极30与下部电极LE之间提供用于对晶片W进行等离子体处理的处理空间S。
上部电极30借助绝缘性遮蔽部件32被支承在处理容器12的上部。在一实施方式中,上部电极30构成为自载置台PD的上表面即晶片载置面起的铅直方向上的距离可变。上部电极30能够包括电极板34和电极支承体36。电极板34面向处理空间S,在该电极板34设置有多个气体吐出孔34a。该电极板34在一实施方式中由硅构成。
电极支承体36是能够拆装地支承电极板34的部件,例如能够由铝等导电性材料构成。该电极支承体36能够具有水冷构造。在电极支承体36的内部设置有气体扩散室36a。与气体吐出孔34a连通的多个气体通流孔36b从该气体扩散室36a向下方延伸。此外,在电极支承体36形成有将处理气体导到气体扩散室36a的气体导入口36c,气体供给管38与该气体导入口36c连接。
气体源组40经由阀组42和流量控制器组44与气体供给管38连接。气体源组40包括碳氟化合物气体源、稀有气体源和氧气(O2)源等多个气体源。碳氟化合物气体例如是包含C4F6气体和C4F8气体之中至少一种的气体。此外,稀有气体是包含Ar气体、He气体等各种稀有气体之中至少一种的气体。
阀组42包括多个阀,流量控制器组44包括质量流浪控制器等多个流量控制器。气体源组40的多个气体源分别经由阀组42的对应的阀和流量控制器组44的对应的流量控制器与气体供给管38连接。
此外,在等离子体处理装置10中,沿着处理容器12的内壁能够拆装地设置有沉积物屏蔽件46。沉积物屏蔽件46还设置在支承部14的外周。沉积物屏蔽件46是防止蚀刻副产物(沉积物)附着在处理容器12的部件,通过在铝材上覆盖Y2O3等陶瓷而构成。
在处理容器12的底部侧、且在支承部14与处理容器12的侧壁之间设置有排气板48。排气板48例如通过在铝材上覆盖Y2O3等陶瓷而构成。在该排气板48的下方、且在处理容器12设置有排气口12e。排气装置50经由排气管52与排气口12e连接。排气装置50具有涡轮分子泵等真空泵,能够将处理容器12内的空间减压至所期望的真空度。此外,在处理容器12的侧壁设置有晶片W的搬入搬出口12g,该搬入搬出口12g利用闸阀54能够开闭。
此外,等离子体处理装置10还包括第一高频电源62和第二高频电源64。第一高频电源62是产生等离子体生成用的高频电力的电源,产生27~100MHz的频率的高频电力,在一例中产生40MHz的高频电力。第一高频电源62经由匹配器66与下部电极LE连接。匹配器66是用于使第一高频电源62的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电力。
第一高频电源62能够连续地对下部电极LE供给高频电力。此外,第一高频电源62能够脉冲状地对下部电极LE供给高频电力。即,第一高频电源62能够交替地对下部电极LE供给第一电平的高频电力和比该第一电平小的第二电平的高频电力。例如,第二电平的高频电力能够是其大小为“0”的高频电力。该情况下,第一高频电源62以交替切换高频电力的导通(ON)和断开(OFF)的方式进行供给。交替切换第一电平和第二电平的频率能够是2kHz~40kHz。此外,在包括高频电力取得第一电平的期间和取得第二电平的期间的一个周期内,高频电力取得第一电平的期间所占的比例,即占空比能够是20%以上80%以下。
第二高频电源64是产生用于对晶片W引入离子的高频偏置电力的电源,产生400kHz~13.56MHz的范围内的频率的高频偏置电力,在一例中产生3MHz的高频偏置电力。第二高频电源64经由匹配器68与下部电极LE连接。匹配器68是用于使第二高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
第二高频电源64能够连续地对下部电极LE供给高频偏置电力。此外,第二高频电源64能够脉冲状地对下部电极LE供给高频偏置电力。即,第二高频电源64能够交替地对下部电极LE供给第一电平的高频偏置电力和比该第一电平小的第二电平的高频偏置电力。例如,第二电平的高频偏置电力能够是其大小为“0”的高频偏置电力。该情况下,第二高频电源64能够以交替切换高频偏置电力的导通(ON)和断开(OFF)的方式进行供给。此外,交替切换第一电平和第二电平的频率能够是2kHz~40kHz,在包括高频偏置电力取得第一电平的期间和取得第二电平的期间的一个周期内,高频偏置电力取得第一电平的期间所占的比例、即占空比能够是20%以上80%以下。再者,高频偏置电力的第一电平能够与高频电力的第一电平不同。
此外,等离子体处理装置10还具有电源70。电源70与上部电极30连接。电源70对上部电极30施加用于将在处理空间S内存在的正离子引入电极板34的电压。在一例中,电源70是产生负的直流电压的直流电源。在另外的一例中,电源70也可以是产生比较低频的交流电压的交流电源。从电源70施加在上部电极的电压能够是-150V以下的电压。即,由电源70施加在上部电极30的电压能够是绝对值为150以上的负电压。这样的电压从电源70施加在上部电极30时,存在于处理空间S的正离子冲击电极板34。由此,从电极板34放出二次电子和/或硅。所放出的硅与在处理空间S内存在的氟的活性种结合,使氟的活性种的量降低。
此外,在一实施方式中,等离子体处理装置10还具有控制部Cnt。该控制部Cnt是包括处理器、存储部、输入装置、显示装置等的计算机,控制等离子体处理装置10的各部分。该控制部Cnt中,操作员为了管理等离子体处理装置10能够使用输入装置来进行命令的输入操作等,此外,利用显示装置能够使等离子体处理装置10的工作状况可视化地进行显示。而且,在控制部Cnt的存储部中存储有用于利用处理器控制在等离子体处理装置10实施的各种处理的控制程序、用于根据处理条件使等离子体处理装置10的各部分实施处理的程序、即处理方案。
以下,再次参照图1,详细说明方法MT。此外,在以下的说明中,除了图1,还参照图3和图4。图3是表示图1所示的方法的各步骤前后的被处理体的状态的截面图。图4是表示步骤ST1中的高频电力的波形和高频偏置电力的波形的图。
图1所示的方法MT能够适用于图3(a)所示的一例的晶片W。图3(a)所示的晶片W包括基板SB、第一区域R1和第二区域R2。第一区域R1和第二区域R2设置在基板SB上。第一区域R1由氧化硅构成,第二区域R2由氮化硅构成。第一区域R1的表面和第二区域R2的表面在方法MT的适用开始前或者方法MT的实施中途同时露出。
在方法MT中,首先,实施步骤ST1(第一步骤)。步骤ST1中,晶片W暴露于包含碳氟化合物气体的处理气体的等离子体中。碳氟化合物气体例如包含C4F6气体和C4F8气体中的至少一种。此外,该处理气体能够包含Ar气体、He气体等各种稀有气体中的至少一种的稀有气体。而且,该处理气体能够包含氧气(O2)。处理气体被通过供给等离子体生成用的高频电力HF而产生的高频电场激励。在步骤ST1中,通过处理气体被激励而生成等离子体,晶片W暴露于所生成的等离子体。
如图4所示,在步骤ST1中,为了处理气体的等离子体的生成,脉冲状地供给高频电力HF。在图4中,高频电力HF取得高电平的期间是该高频电力HF取得第一电平的期间。此外,高频电力HF取得低电平的期间是该高频电力HF取得第二电平的期间。在步骤ST1中。交替切换高频电力HF的第一电平和第二电平的频率能够是2kHz~40kHz,占空比能够是20%以上80%以下。通过占空比为20%以上,能够维持等离子体。此外,通过占空比为80%以下且为较小的比,能够更优选地抑制第二区域R2的蚀刻。
在一实施方式的步骤ST1中,在该步骤ST1的实施期间中能够连续供给用于对晶片W引入等离子体中的离子的高频偏置电力LF。在其他的实施方式的步骤ST1中,高频偏置电力LF也能够脉冲状地供给。在图4中,高频偏置电力LF取得高电平的期间是该高频偏置电力LF取得第一电平的期间。此外,高频偏置电力LF取得低电平的期间是该高频偏置电力LF取得第二电平的期间。在步骤ST1中,交替切换高频偏置电力LF的第一电平和第二电平的频率能够是2kHz~40kHz,占空比能够是20%以上80%以下。此外,在步骤ST1中高频偏置电力LF可以与高频电力HF同步。或者,在步骤ST1中高频偏置电力LF也可以与高频电力HF不同步。
在使用等离子体处理装置10实施步骤ST1的情况下,从气体源组40将处理气体供给到处理容器12内。此外,在步骤ST1中,从第一高频电源62脉冲状地对下部电极LE供给高频电力。此外,在步骤ST1中,来自第二高频电源64的高频偏置电力能够连续或者脉冲状地供给到下部电极LE。此外,在步骤ST1中,利用排气装置50将处理容器12内的空间的压力设定为规定的压力。例如,处理容器12内的空间的压力设定为20mTorr(2.666Pa)~50mTorr(6.666Pa)的范围内的压力。由此,在处理容器12内生成处理气体的等离子体,载置于载置台PD上的晶片W暴露于该等离子体。在步骤ST1中,可以对上部电极30施加来自电源70的负的直流电压。由电源70施加在上部电极30的电压能够是-150V以下的电压。即,由电源70施加在上部电极30的电压能够是绝对值为150V以上的负电压。该步骤ST1的实施时的等离子体处理装置10的各部分的动作能够由控制部Cnt控制。
在步骤ST1中,来自碳氟化合物的原子和/或分子的活性种、例如氟和/或碳氟化合物的活性种碰撞图3(a)所示的状态的晶片W的第一区域R1。由此,在步骤ST1中,第一区域R1被蚀刻。此外,在步骤ST1中,包含碳氟化合物的堆积物附着在第一区域R1。由此,如图3(b)所示,包含碳氟化合物的堆积物DP形成于第一区域R1上。该堆积物DP的膜厚随着步骤ST1的实施时间的经过而增加。
此外,在步骤ST1中,来自碳氟化合物的原子和/或分子的活性种例如氟和/或碳氟化合物的活性种也碰撞图3(a)所示的状态的晶片W的第二区域R2。该活性种碰撞第二区域R2时,如图3(b)所示,能够在第二区域R2的表面形成碳氟化合物堆积物变性了的碳含有比率高的保护膜TR。保护膜TR含有构成第二区域R2的硅和氮、处理气体中包含的原子和/或分子。例如,保护膜TR除了硅和氮,能够含有处理气体中包含的炭、氟和氧。此外,在步骤ST1中,如图3(b)所示,在保护膜TR上形成堆积物DP。
再者,在步骤ST1中来自电源70的电压施加在上部电极30的情况下,存在于处理空间S的正离子碰撞电极板34。由此,从电极板34放出硅。放出的硅与存在于处理空间S内的氟的活性种例如氟自由基和氟离子结合,使氟的活性种的量降低。由此,碳含有比率高的保护膜TR的形成速度增加,利用氟的活性种进行的第二区域R2的蚀刻受到抑制。另一方面,第一区域R1被等离子体中的碳氟化合物的活性种蚀刻,此外,在该第一区域R1上通过碳氟化合物的活性种形成碳氟化合物的堆积物DP。
此外,在步骤ST1中,如上所述,脉冲状地供给高频电力HF。在脉冲状地供给高频电力HF的情况下,在脉冲的断开时间中与离子通量相比自由基通量较慢地衰减。因而,通过存在仅照射自由基的时间,离子通量的比率变低。即,与离子相比较多地生成自由基。由此,抑制没有被堆积物DP覆盖的状态的第二区域R2被蚀刻。
通过这样的步骤ST1的处理而形成于第一区域R1上的堆积物DP的膜厚变大时,该堆积物DP阻碍能够蚀刻第一区域R1的活性种到达第一区域R1。因而,当连续地继续步骤ST1时,第一区域R1的蚀刻停止。为了防止这样的蚀刻的停止,在方法MT中,接着实施步骤ST2(第二步骤)。
在步骤ST2中,利用堆积物DP中包含的碳氟化合物的自由基蚀刻第一区域R1。在一实施方式的步骤ST2中,步骤ST1处理后的晶片W暴露于稀有气体的等离子体。在步骤ST2中,如图4所示,高频电力HF和高频偏置电力两者在该步骤ST2的实施期间中连续地供给。该步骤ST2的处理时间和步骤ST1的处理时间能够任意设定。在一实施方式中,在步骤ST1的处理时间与步骤ST2的处理时间的合计中,步骤ST1的处理时间所占的比例能够设定为30%~70%的范围内的比例。
在使用等离子体处理装置10实施步骤ST2的情况下,从气体源组40供给稀有气体。此外,在步骤ST2中,除了稀有气体还可以供给氧气(O2气体),或者也可以不供给氧气。此外,在步骤ST2中,来自第一高频电源62的高频电力连续地供给到下部电极LE。此外,在步骤ST2中,来自第二高频电源64的高频偏置电力能够连续地供给到下部电极LE。此外,在步骤ST2中,利用排气装置50将处理容器12内的空间的压力设定为规定的压力。例如,处理容器12内的空间的压力设定为20mTorr(2.666Pa)~50mTorr(6.666Pa)的范围内的压力。由此,在处理容器12内生成稀有气体的等离子体,载置于载置台PD上的晶片W暴露于该等离子体中。而且,在步骤ST2中,也可以对上部电极30施加来自电源70的负的直流电压。由电源70施加在上部电极30的电压能够是-150V以下的电压。即,由电源70施加在上部电极30的电压能够是绝对值为150V以上的负的电压。该步骤ST2实施时的等离子体处理装置10的各部分的动作能够由控制部Cnt控制。
在步骤ST2中,稀有气体原子的活性种、例如稀有气体原子的离子碰撞堆积物DP。由此,堆积物DP中的碳氟化合物的自由基使第一区域R1的蚀刻进行。此外,通过该步骤ST2,第一区域R1上的堆积物DP的膜厚减少。此外,在步骤ST2中,第二区域R2上的堆积物DP的膜厚也减少。但是,在第二区域R2上存在保护膜TR,所以能够抑制第二区域R2的蚀刻。通过这样的步骤ST2的实施,晶片W成为图3(c)所示的状态。
再者,在步骤ST2中来自电源70的电压施加在上部电极30的情况下,存在于处理空间S的正离子冲击电极板34。由此,从电极板34放出硅。在步骤ST2的实施期间中,在步骤ST1生成的氟的活性种没有被除去而残留在处理容器12内。从电极板34放出的硅与存在于处理空间S内的氟的活性种结合,使氟的活性种的量降低。由此,抑制利用氟的活性种进行的第二区域R2的蚀刻。另一方面,第一区域R1被堆积物DP中的碳氟化合物的自由基蚀刻。
此外,在步骤ST2中没有供给氧气的情况下,第一区域R1相对于第二区域R2更有选择地被蚀刻。
在方法MT中,在步骤ST2实施后,再次实施步骤ST1。由于通过之前的步骤ST2的实施堆积物DP的膜厚减少,所以再次实施步骤ST1而将晶片W暴露于上述的处理气体的等离子体中时,能够对第一区域R1进一步进行蚀刻。之后,通过进一步实施步骤ST2,能够利用堆积物DP中的碳氟化合物自由基蚀刻第一区域R1。
在方法MT中,在步骤ST3判断是否满足停止条件。停止条件例如是判断包括步骤ST1和步骤ST2的循环的反复次数达到规定次数时满足的条件。在没有满足停止条件的情况下,再次实施包括步骤ST1和步骤ST2的循环。另一方面,在满足停止条件的情况下,方法MT结束。
在以上说明的方法MT中,通过多次交替实施步骤ST1和步骤ST2,能够防止第一区域R1的蚀刻的停止。其结果是,能够继续第一区域R1的蚀刻。进而,在方法MT中,能够相对于第二区域R2有选择地蚀刻第一区域R1。特别是,在方法MT中,由于在步骤ST1中脉冲状地供给高频电力HF,所以能够抑制没有被堆积物DP覆盖的状态的第二区域R2被蚀刻。因而,第一区域R1的蚀刻相对于第二区域R2的蚀刻的选择性提高。
图5是表示图1所示的方法的处理对象的另一例的截面图。图5所示的晶片是具有第一区域R1和第二区域R2的晶片W2,对该晶片W2也能够应用方法MT。具体而言,晶片W2包括基底层100、多个隆起区域102、第一区域R1、第二区域R2和掩模108。该晶片W2能够是例如鳍型场效应晶体管的制造中得到的产物。
基底层100例如能够由多晶硅构成。基底层在一例中是鳍区域,具有大致长方体形状。多个隆起区域102设置在基底层100上,相互大致平行地排列。这些隆起区域102例如能够是栅极区域。第二区域R2由氮化硅构成,以覆盖隆起区域102的方式设置。此外,多个隆起区域102被埋入第一区域R1内。即,第一区域R1设置成隔着第二区域R2覆盖隆起区域102。该第一区域R1由氧化硅构成。在第一区域R1上设置有掩模108。掩模108在相邻的隆起区域102间的上方具有开口图案。该掩模108由有机膜构成。而且,掩模108可以通过光刻技术制作。
对该晶片W2应用方法MT时,相对于晶片W2的第二区域R2能够有选择地蚀刻第一区域R1,在相邻的隆起区域102间的区域能够自匹配地形成孔。特别是,当伴随蚀刻的进行第二区域R2露出时,在该第二区域R2上没有形成堆积物DP,但是通过在步骤ST1脉冲状地供给高频电力HF,能够抑制没有被堆积物DP覆盖的该第二区域R2的蚀刻。此外,能够防止第一区域R1的蚀刻的停止,并且使该第一区域R1的蚀刻进行。利用该方法MT形成的孔通过相邻的隆起区域102间的区域延伸至基底层100的表面。该孔能够是例如与鳍区域的源极或者漏极连接的接触用的孔。
以下,说明为了方法MT的评价而进行的实验例。以下说明的实验例仅是为了示例而表示的例子,不对本发明进行限定。
在实验例中,使用等离子体处理装置10将方法MT适用于具有第一区域R1和第二区域R2的晶片。在实验例中,将包括步骤ST1和步骤ST2的循环的实施次数、即循环数进行各种变更。以下记载实验例的处理条件。
[实验例的处理条件]
<步骤ST1>
·高频电力HF:频率40MHz,500W
·高频偏置电力LF:频率3MHz、50W
·电源70的直流电压:-300V
·高频电力HF和高频偏置电力LF的脉冲状的供给的频率:20kHz
·高频电力HF和高频偏置电力LF的脉冲状的供给的占空比:60%
·处理气体
C4F6气体:32sccm
Ar气体:1500sccm
O2气体:20sccm
·1个循环中的步骤ST1的实施时间:1秒
<步骤ST2>
·高频电力HF:频率40MHz,500W
·高频偏置电力LF:频率3MHz、50W
·电源70的直流电压:-300V
·高频电力HF和高频偏置电力LF的脉冲状的供给的频率:20kHz
·高频电力HF和高频偏置电力LF的脉冲状的供给的占空比:60%
·气体
C4F6气体:0sccm
Ar气体:1500sccm
O2气体:0sccm
·1个循环中的步骤ST2的实施时间:5秒
此外,为了参考,进行比较实验例1、比较实验例2和比较实验例3。在比较实验例1中,使包括步骤STa和步骤STb的循环的实施次数进行各种变更,而将该包括步骤STa和步骤STb的方法适用于与实验例的晶片同样的晶片。而且,使步骤STa为仅在连续供给高频电力HF和高频偏置电力LF两者的方面上与实验例的步骤ST1不同的步骤。此外,步骤STb为与实验例的步骤ST2同样的步骤。即,在比较实验例1中,在步骤STa和步骤STb两者中连续供给高频电力HF和高频偏置电力LF。
在比较实验例2中,使该包括步骤STc和步骤STd的循环的实施次数进行各种变更,而将包括步骤STc和步骤STd的方法适用于与实验例的晶片同样的晶片。而且,步骤STc为与实验例的步骤ST1同样的步骤。此外,步骤STd为仅在脉冲状地供给高频电力HF和高频偏置电力LF两者的方面与实验例的步骤ST2不同的步骤。即,在比较实验例2中,在步骤STc和步骤STd两者中脉冲状地供给高频电力HF和高频偏置电力LF。
在比较实验例3中,将步骤STa的实施时间设定为1.5秒,将其他的处理条件与比较实验例1的处理条件同样的处理条件的方法适用于与实验例同样的晶片。
而且,从分别适用了实验例、比较实验例1、比较实验例2和比较实验例3后的晶片求出第一区域R1的蚀刻量、即第一区域R1的膜厚减少量和第二区域R2的膜厚减少量。图6和图7是表示实验结果的图。在图6中横轴是循环数,纵轴是第一区域的蚀刻量。此外,在图7中横轴是循环数,纵轴是第二区域的膜厚减少量。
如图6所示,确认了根据实验例、即仅在步骤ST1中脉冲状地供给高频电力HF的方法MT,能够将第一区域的蚀刻量维持得大于比较实验例2和比较实验例3。即,根据实验例,能够得到与比较实验例1大致同等的第一区域的蚀刻量。此外,如图7所示,确认了根据实验例,能够将第二区域的膜厚减少量与比较实验例1相比相当地降低。因而,确认了根据实验例,能够抑制第一区域的蚀刻速率的降低,且使第一区域的蚀刻相对于第二区域的选择性提高。

Claims (4)

1.一种相对于由氮化硅构成的第二区域有选择地蚀刻由氧化硅构成的第一区域的方法,其特征在于,包括:
第一步骤,将具有所述第一区域和所述第二区域的被处理体暴露于包含碳氟化合物气体的处理气体的等离子体中,对所述第一区域进行蚀刻,且在所述第一区域和所述第二区域上形成包含碳氟化合物的堆积物;和
第二步骤,利用所述堆积物中包含的碳氟化合物的自由基对所述第一区域进行蚀刻,
在所述第一步骤中,所述等离子体由脉冲状的高频电力生成,
交替反复进行所述第一步骤和所述第二步骤。
2.如权利要求1所述的方法,其特征在于:
在所述第一步骤中为了将离子引入到所述被处理体而供给脉冲状的高频偏置电力。
3.如权利要求2所述的方法,其特征在于:
所述高频偏置电力与所述高频电力同步。
4.如权利要求1~3中任一项所述的方法,其特征在于:
在所述第二步骤中,利用连续供给的高频电力生成稀有气体的等离子体。
CN201510542345.4A 2014-08-28 2015-08-28 蚀刻方法 Active CN105390388B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-174004 2014-08-28
JP2014174004A JP6315809B2 (ja) 2014-08-28 2014-08-28 エッチング方法

Publications (2)

Publication Number Publication Date
CN105390388A true CN105390388A (zh) 2016-03-09
CN105390388B CN105390388B (zh) 2018-12-25

Family

ID=53773374

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510542345.4A Active CN105390388B (zh) 2014-08-28 2015-08-28 蚀刻方法

Country Status (6)

Country Link
US (2) US9837285B2 (zh)
EP (1) EP2991103A1 (zh)
JP (1) JP6315809B2 (zh)
KR (1) KR102361782B1 (zh)
CN (1) CN105390388B (zh)
TW (2) TWI692031B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109075068A (zh) * 2016-05-10 2018-12-21 东京毅力科创株式会社 蚀刻方法
CN109196624A (zh) * 2016-05-19 2019-01-11 东京毅力科创株式会社 蚀刻方法
CN109219866A (zh) * 2016-05-20 2019-01-15 东京毅力科创株式会社 蚀刻方法
CN109509694A (zh) * 2017-09-15 2019-03-22 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN109755125A (zh) * 2017-11-08 2019-05-14 东京毅力科创株式会社 蚀刻方法
CN110164765A (zh) * 2018-02-16 2019-08-23 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN110391140A (zh) * 2018-04-17 2019-10-29 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
CN105810579B (zh) * 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017159512A1 (ja) * 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6689674B2 (ja) * 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
KR20200100643A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6910320B2 (ja) * 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7101096B2 (ja) * 2018-10-12 2022-07-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
KR20210136143A (ko) * 2019-04-05 2021-11-16 도쿄엘렉트론가부시키가이샤 고도로 선택적인 실리콘 산화물/실리콘 질화물 에칭을 위한 에칭 가스 성분과 패시베이션 가스 성분의 독립적 제어
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985114A (en) * 1988-10-14 1991-01-15 Hitachi, Ltd. Dry etching by alternately etching and depositing
US5503901A (en) * 1993-06-30 1996-04-02 Kabushiki Kaisha Toshiba Surface treatment method and surface treatment apparatus
TW533502B (en) * 2000-07-03 2003-05-21 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US20050047889A1 (en) * 2003-08-25 2005-03-03 Techmech Technologies Corp. Fixing member for securing stacked plates
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
CN1956155A (zh) * 2005-10-24 2007-05-02 东京毅力科创株式会社 半导体装置的制造方法、制造装置、控制程序和计算机存储介质
CN101043004A (zh) * 2006-03-23 2007-09-26 东京毅力科创株式会社 等离子体蚀刻方法
CN103811342A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 鳍结构及其制造方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US7361287B2 (en) * 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
WO2001012873A1 (en) * 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6221784B1 (en) * 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US6716766B2 (en) * 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
DE102004043233B4 (de) * 2003-09-10 2014-02-13 Denso Corporation Verfahren zum Herstellen eines beweglichen Abschnitts einer Halbleitervorrichtung
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
JP4578887B2 (ja) * 2004-08-11 2010-11-10 住友精密工業株式会社 エッチング方法及びエッチング装置
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7655570B2 (en) * 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
US7531102B2 (en) * 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7737042B2 (en) * 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2010199429A (ja) * 2009-02-26 2010-09-09 Fujifilm Corp プラズマエッチング方法及びプラズマエッチング装置並びに液体吐出ヘッドの製造方法
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN101880914B (zh) * 2010-05-25 2012-09-12 中国科学院微电子研究所 利用等离子体浸没离子注入制备黑硅的方法
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US9263283B2 (en) * 2011-09-28 2016-02-16 Tokyo Electron Limited Etching method and apparatus
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
JP6154820B2 (ja) * 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6002556B2 (ja) * 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6295119B2 (ja) * 2014-03-25 2018-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985114A (en) * 1988-10-14 1991-01-15 Hitachi, Ltd. Dry etching by alternately etching and depositing
US5503901A (en) * 1993-06-30 1996-04-02 Kabushiki Kaisha Toshiba Surface treatment method and surface treatment apparatus
TW533502B (en) * 2000-07-03 2003-05-21 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US20050047889A1 (en) * 2003-08-25 2005-03-03 Techmech Technologies Corp. Fixing member for securing stacked plates
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
CN1956155A (zh) * 2005-10-24 2007-05-02 东京毅力科创株式会社 半导体装置的制造方法、制造装置、控制程序和计算机存储介质
CN101043004A (zh) * 2006-03-23 2007-09-26 东京毅力科创株式会社 等离子体蚀刻方法
CN103811342A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 鳍结构及其制造方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109075068A (zh) * 2016-05-10 2018-12-21 东京毅力科创株式会社 蚀刻方法
CN109075068B (zh) * 2016-05-10 2023-06-09 东京毅力科创株式会社 蚀刻方法
CN109196624A (zh) * 2016-05-19 2019-01-11 东京毅力科创株式会社 蚀刻方法
CN109196624B (zh) * 2016-05-19 2023-10-24 东京毅力科创株式会社 蚀刻方法
CN109219866A (zh) * 2016-05-20 2019-01-15 东京毅力科创株式会社 蚀刻方法
CN109219866B (zh) * 2016-05-20 2023-06-23 东京毅力科创株式会社 蚀刻方法
CN109509694A (zh) * 2017-09-15 2019-03-22 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN109755125B (zh) * 2017-11-08 2023-05-02 东京毅力科创株式会社 蚀刻方法
CN109755125A (zh) * 2017-11-08 2019-05-14 东京毅力科创株式会社 蚀刻方法
CN110164765A (zh) * 2018-02-16 2019-08-23 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN110164765B (zh) * 2018-02-16 2023-09-26 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN110391140A (zh) * 2018-04-17 2019-10-29 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory

Also Published As

Publication number Publication date
KR20160028370A (ko) 2016-03-11
KR102361782B1 (ko) 2022-02-10
US20160064247A1 (en) 2016-03-03
US9837285B2 (en) 2017-12-05
TW201624563A (zh) 2016-07-01
US9972503B2 (en) 2018-05-15
TW201929090A (zh) 2019-07-16
CN105390388B (zh) 2018-12-25
TWI692031B (zh) 2020-04-21
JP2016048771A (ja) 2016-04-07
US20180068865A1 (en) 2018-03-08
TWI664676B (zh) 2019-07-01
EP2991103A1 (en) 2016-03-02
JP6315809B2 (ja) 2018-04-25

Similar Documents

Publication Publication Date Title
CN105390388A (zh) 蚀刻方法
CN104867827A (zh) 蚀刻方法
KR102418244B1 (ko) 에칭 방법
US9779961B2 (en) Etching method
US9536707B2 (en) Etching method of multilayered film
US9230824B2 (en) Method of manufacturing semiconductor device
US9263239B1 (en) Etching method of multilayered film
US9312105B2 (en) Method for etching insulation film
US10193066B2 (en) Apparatus and techniques for anisotropic substrate etching
CN105810582A (zh) 蚀刻方法
CN106158619B (zh) 被处理体的处理方法
CN111048389A (zh) 等离子体处理方法和等离子体处理装置
KR20200144479A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN105810581A (zh) 蚀刻方法
CN105810579A (zh) 蚀刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant