CN109219866A - 蚀刻方法 - Google Patents

蚀刻方法 Download PDF

Info

Publication number
CN109219866A
CN109219866A CN201780031109.3A CN201780031109A CN109219866A CN 109219866 A CN109219866 A CN 109219866A CN 201780031109 A CN201780031109 A CN 201780031109A CN 109219866 A CN109219866 A CN 109219866A
Authority
CN
China
Prior art keywords
gas
silicon
auxiliary layer
etching
containing regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780031109.3A
Other languages
English (en)
Other versions
CN109219866B (zh
Inventor
辻晃弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109219866A publication Critical patent/CN109219866A/zh
Application granted granted Critical
Publication of CN109219866B publication Critical patent/CN109219866B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Magnetic Heads (AREA)

Abstract

包括:利用在处理容器内生成的处理气体的等离子体,在多个含硅区域(R1、R2、R3)中的任一个以上的表面形成蚀刻辅助层(ML)的第1步骤;和对蚀刻辅助层(ML)施加能量的第2步骤,能量是能够除去蚀刻辅助层(ML)的能量以上的能量,比位于蚀刻辅助层(ML)的正下方的区域能够被溅射的能量小,反复执行包括第1步骤和第2步骤的流程。

Description

蚀刻方法
技术领域
本发明的实施方式涉及蚀刻方法,尤其涉及通过对多个被处理体进行的等离子体处理,有选择地对多个被处理体中的任一个以上的被处理体进行蚀刻的方法。
背景技术
在电子器件的制造中,有时对由氧化硅(SiO2)构成的区域进行形成孔或槽这样的开口的处理。在这样的处理中,如专利文献1中记载的那样,一般将被处理体暴露在碳氟化合物气体的等离子体中,对该区域进行蚀刻。
此外,已知相对于由氮化硅构成的第2区域,有选择地对由氧化硅构成的第1区域进行蚀刻的技术。作为这样的技术的一例,已知SAC(Self-Aligned Contact:自对准接触)技术。关于SAC技术,专利文献2中有记载。
作为SAC技术的处理对象的被处理体具有氧化硅制的第1区域、氮化硅制的第2区域和掩模。第2区域以区划形成凹部的方式设置,第1区域掩码该凹部,并且以覆盖第2区域的方式设置,掩模设置在第1区域上,在凹部之上提供开口。
在现有的SAC技术中,如专利文献2所记载的那样,为了对第1区域进行蚀刻,使用包含碳氟化合物气体、氧气和稀有气体的处理气体的等离子体。通过将被处理体暴露在该处理气体的等离子体中,在从掩模的开口露出的部分对第1区域进行蚀刻,形成上部开口。进而,通过将被处理体暴露在处理气体的等离子体中,被第2区域包围的部分、即凹部内的第1区域被自匹配地蚀刻。
通过采用这样的方式,自匹配地形成与上部开口连接的下部开口。此外,如果在开口的周围形成含有等离子体气体所包含的碳的来自等离子体气体的沉积物,则能够包含开口端面,因此能够有选择地仅对开口的内侧进行蚀刻。
另外,作为相关技术,已知使用了CHF系气体的等离子体的SiO2的蚀刻方法(专利文献3),使用了氢氟烃(CH3F、CH2F2)、O2和碳气体(CO2)的氮化硅的选择蚀刻方法(专利文献4、专利文献5),使用了碳氟化合物的接触孔的形成方法(专利文献6),使用了CH2F2或CH3F的SiC的蚀刻方法等(专利文献7)。
现有技术文献
专利文献
专利文献1:米国专利第7708859号说明书
专利文献2:日本特开2000-307001号公报
专利文献3:日本特表2001-521283号公报
专利文献4:日本特开平11-260798号公报
专利文献5:日本特开平10-303187号公报
专利文献6:日本特开2002-319574号公报
专利文献7:日本特开2012-114463号公报
发明内容
发明想要解决的技术问题
但是,通过等离子体蚀刻,在形成图案的情况下,随着细微化的尺度(scale)变小,产生不能进行图案凹部的蚀刻的问题。即,为了保护凹部的开口端,当在开口端面以及凹部的内壁上形成来自等离子体气体的沉积物时,由于来自等离子体气体的沉积物的厚度,存在开口被堵塞,不能对开口内部进行蚀刻的问题。
因此,在进一步细微化的情况下,要求能够通过蚀刻形成凹部的选择蚀刻技术。
用于解决技术问题的技术方案
一个方式的第1蚀刻方法,将包括具有彼此不同的组成的多个含硅区域的被处理体收纳在处理容器内,有选择地对上述多个含硅区域中的任一个以上的含硅区域进行蚀刻,上述蚀刻方法包括:第1步骤,利用在上述处理容器内生成的处理气体的等离子体,在上述多个含硅区域中的任一个以上的表面形成蚀刻辅助层;和对上述蚀刻辅助层施加能量的第2步骤,上述能量是能够除去上述蚀刻辅助层的能量以上的能量,比位于上述蚀刻辅助层的正下方的区域能够被溅射的能量小,反复执行包括上述第1步骤和上述第2步骤的流程。
在该蚀刻方法中,在第1步骤中形成蚀刻辅助层,在第2步骤中对蚀刻辅助层施加适当的能量来除去蚀刻辅助层,反复进行这些步骤。由于根据含硅区域的组成,蚀刻辅助层的厚度和被除去的量不同,因此能够有选择地蚀刻作为目的的含硅区域。该方法在通过蚀刻形成凹部的情况下,在凹部的开口端面上积极地形成来自等离子体气体的沉积物,由于不是保护开口的方法,因此即使在所要求的开口宽度变小的情况下,也能够有选择地蚀刻开口内的含硅区域。
在第2蚀刻方法中,上述蚀刻辅助层是将上述含硅区域的表面改性而形成的改性层或在上述含硅区域的表面上沉积有沉积物的沉积层。当等离子体化的处理气体与含硅区域接触时,含硅区域发生改性(变性),或者形成极薄的沉积物。其中,在形成极薄的沉积物的情况下,将其厚度控制在0.1nm~1nm的程度。
在第3蚀刻方法中,各个上述含硅区域包含选自SiC、SiOC、SiOCN、SiON、Si3N4、SiCN和SiO2中的一种物质。这些含硅区域,通过上述的步骤,由于被蚀刻的量明显不同,因此能够可靠地对成为目的的含硅区域进行选择蚀刻。
在第4蚀刻方法中,上述处理气体是通过与上述含硅区域的表层原子的结合,能够形成上述蚀刻辅助层的气体,包含选自含碳气体、含氧气体、含氮气体、含卤气体和含氢气体中的至少一种气体。
即,各种气体与含硅区域的表层原子结合,能够形成蚀刻辅助层。具体而言,含碳气体、含氧气体、含氮气体、含卤气体或含氢气体,能够与硅原子结合。
在第5蚀刻方法中,上述含碳气体是氢氟烃气体或碳氟化合物气体,上述含氧气体是O2、CO或CO2,上述含氮气体是NH3或NF3,上述含卤气体是NF3、CxHyFz或CxFy(x、y、z是自然数),上述含氢气体是CxHyFz(x、y、z是自然数)。
这些气体能够与硅原子结合,能够形成上述的改性层或沉积层。
在第6蚀刻方法中,上述处理气体包含NF3或CHF3。在采用这些气体的情况下,通过与含硅区域的表层原子的结合,能够形成蚀刻辅助层,在处理气体包含NF3或CHF3的情况下,能够确认到选择蚀刻性的效果较大。
发明效果
如上所述,依照本发明的蚀刻方法,即使在细微化不断推进的情况下,也能够进行通过蚀刻来形成凹部这样的选择蚀刻。
附图说明
图1是表示一个实施方式的蚀刻方法的流程图。
图2是举例表示作为一个实施方式的蚀刻方法的应用对象的被处理体的截面图。
图3是概略地表示实施图1所示的方法时能够使用的等离子体处理装置的一例的图。
图4是执行了步骤ST11的被处理体的截面图。
图5是表示实施例1中的各被处理体的蚀刻量的图表。
图6是表示实施例2中的各被处理体的蚀刻量的图表。
图7是表示实施例3、实施例4中的各被处理体的蚀刻量的图表。
具体实施方式
下面,参考附图对各种实施方式进行详细的说明。并且,对于各附图中相同或相当的部分标注相同的附图标记。
图1是表示一个实施方式的蚀刻方法的流程图。图1所示的方法MT是通过对包括多个区域的被处理体进行的等离子体处理,有选择地对多个区域中的任一个以上的区域进行蚀刻的方法。
图2是举例表示作为一个实施方式的蚀刻方法的应用对象的被处理体的截面图。图2表示在基片SB上设置有3个含硅区域(第1区域R1、第2区域R2、第3区域R3)的晶片W。
在一例中,第1区域R1由氧化硅(SiO2)构成,第2区域R2由氮化硅(Si3N4)构成,第3区域R3由碳化硅(SiC)构成。
在方法MT中,作为图2所示的被处理体的晶片W上的各区域在等离子体处理装置内被处理。图3是概略地表示实施图1所示的方法时能够使用的等离子体处理装置的一例的图。图3所示的等离子体处理装置10是电容结合型等离子体蚀刻装置,包括大致圆筒状的处理容器12。处理容器12的内壁面例如由阳极氧化处理后的铝构成。该处理容器12安全地接地。
在处理容器12的底部上设置有大致圆筒状的支承部14。支承部14例如由绝缘材料构成。支承部14在处理容器12内从处理容器12的底部在铅垂方向上延伸。此外,在处理容器12内设置有载置台PD。载置台PD被支承部14支承。
载置台PD在其上表面保持上述的基片SB(晶片W)。载置台PD具有下部电极LE和静电吸盘ESC。下部电极LE包括第1板18a和第2板18b。第1板18a和第2板18b,例如由铝这样的金属构成,成为大致圆盘形状。第2板18b设置在第1板18a上,与第1板18a电连接。
在第2板18b上设置有静电吸盘ESC。静电吸盘ESC具有将作为导电膜的电极配置在一对绝缘层或绝缘片之间的的结构。直流电源22经开关23与静电吸盘ESC的电极电连接。该静电吸盘ESC利用因来自直流电源22的直流电压而产生的库伦力等静电力吸附晶片W。通过采用这样的结构,静电吸盘ESC能够保持晶片W。
在第2板18b的周缘部上,以包围晶片W的边缘和静电吸盘ESC的方式配置有聚焦环FR。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR由根据蚀刻对象的膜的材料而适当地选择的材料构成,例如能够由石英构成。
在第2板18b的内部设置有制冷剂流路24。制冷剂流路24构成温度调节机构。从设置在处理容器12的外部的冷却装置经配管26a对制冷剂流路24供给制冷剂。供给至制冷剂流路24的制冷剂,经配管26b被送回冷却装置。这样,制冷剂在制冷剂流路24与冷却装置之间循环。通过控制该制冷剂的温度,能够控制被静电吸盘ESC支承的晶片W的温度。
此外,在等离子体处理装置10设置有气体供给线路28。气体供给线路28将来自传热气体供给机构的传热气体、例如He气体,供给至静电吸盘ESC的上表面与晶片W的背面之间。
此外,等离子体处理装置10包括上部电极30。上部电极30在载置台PD的上方与该载置台PD相对地配置。下部电极LE和上部电极30彼此大致平行地设置。在上部电极30与下部电极LE之间,提供用于对晶片W进行等离子体处理的处理空间S。
上部电极30借助于绝缘性遮挡部件32支承于处理容器12的上部。在一个实施方式中,上部电极30能够构成为,其在铅垂方向上与载置台PD的上表面即晶片载置面的距离可变。上部电极30能够包括电极板34和电极支承体36。电极板34面对处理空间S,在该电极板34设置有多个气体排出孔34a。该电极板34在一个实施方式中由硅构成。
电极支承体36以可自由拆装电极板34的方式支承电极板34,例如能够由铝这样的导电性材料构成。该电极支承体36能够具有水冷结构。在电极支承体36的内部设置有气体扩散室36a。从该气体扩散室36a向下方延伸出与气体排出孔34a连通的多个气体通流孔36b。此外,在电极支承体36形成有用于将处理气体引导至气体扩散室36a的气体导入口36c,在该气体导入口36c连接有气体供给管38。
气体供给管38经阀组42和流量控制器组44连接有气体源组40。气体源组40包括多个气体源。在一例中,气体源组40包括一个以上的碳氟化合物气体的源、稀有气体的源、氮气(N2气体)的源、氢气(H2气体)的源和含氧气体的源。一个以上的碳氟化合物气体的源,在一例中能够包括C4F8气体的源、CF4气体的源和C4F6气体的源。稀有气体的源能够是He气体、Ne气体、Ar气体、Kr气体、Xe气体这样的任意的稀有气体的源,在一例中为Ar气体的源。此外,含氧气体的源,在一例中能够是氧气(O2气体)的源。其中,含氧气体,既可以是含氧的任意的气体,例如也可以是CO气体或CO2气体这样的氧化碳气体。本例是从包括气体源组40所包含的含碳气体、含氧气体、含氮气体、含卤气体和含氢气体的组,选择所需的气体加以使用。含碳气体是氢氟烃气体或碳氟化合物气体,含氧气体是O2、CO或CO2,含氮气体是NH3或NF3,含卤气体是NF3、CxHyFz或CxFy(x、y、z为自然数),含氢气体能够选择CxHyFz(x、y、z为自然数)。
阀组42包括多个阀,流量控制器组44包括质量流量控制器这样的多个流量控制器。气体源组40的多个气体源各自经阀组42的对应的阀和流量控制器组44的对应的流量控制器,与气体供给管38连接。
此外,在等离子体处理装置10中,沿着处理容器12的内壁可拆装地设置有沉积屏蔽部46。沉积屏蔽部46还设置在支承部14的外周。沉积屏蔽部46用于防止蚀刻副产物(deposit:沉积物)附着在处理容器12,能够通过在铝材上覆盖Y2O3等陶瓷而构成。
在处理容器12的底部侧,在支承部14与处理容器12的侧壁之间设置有排气板48。排气板48例如能够通过在铝材上覆盖Y2O3等陶瓷而构成。在该排气板48的下方,在处理容器12设置有排气口12e。在排气口12e,经排气管52连接有排气装置50。排气装置50具有涡轮分子泵等真空泵,能够将处理容器12内的空间减压至所希望的真空度。此外,在处理容器12的侧壁设置有晶片W的搬入搬出口12g,该搬入搬出口12g能够通过闸阀54进行开闭。
此外,等离子体处理装置10还包括第1高频电源62和第2高频电源64。第1高频电源62是产生等离子体生成用的高频电力的电源,例如产生40~100MHz的频率的高频电力。第1高频电源62经匹配器66与上部电极30连接。匹配器66是用于使第1高频电源62的输出阻抗与负载侧(上部电极30侧)的输入阻抗匹配的电路。其中,第1高频电源62也可以经匹配器66与下部电极LE连接。
第2高频电源64是产生用于将离子引入晶片W中的高频偏置电力的电源,产生例如400kHz~40MHz的范围内的频率的高频偏置电力。第2高频电源64经匹配器68与下部电极LE连接。匹配器68是用于使第2高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
此外,等离子体处理装置10还包括电源70。电源70与上部电极30连接。电源70将用于将处理空间S内存在的正离子引入电极板34中的电压施加至上部电极30。在一例中,电源70是产生负的直流电压的直流电源。在另一例中,电源70也可以是产生频率较低的交流电压的交流电源。从电源70施加至上部电极的电压,能够是-150V以下的电压。即,由电源70施加至上部电极30的电压,能够使绝对值为150以上的负的电压。当将这样的电压从电源70施加至上部电极30时,处理空间S内存在的正离子碰撞电极板34。通过采用这样的结构,从电极板34放出二次电子和/硅。放出的硅与处理空间S内存在的氟的活性种结合,降低氟的活性种的量。
此外,在一个实施方式中,等离子体处理装置10还包括控制部Cnt。该控制部Cnt是包括处理器、存储部、输入装置和显示装置等的计算机,控制等离子体处理装置10的各部分。在该控制部Cnt中,操作者为了管理等离子体处理装置10能够使用输入装置进行命令的输入操作等,此外,利用显示装置,能够使等离子体处理装置10的工作状况可视化地显示。进而,在控制部Cnt的存储部中存储用于由处理器控制在等离子体处理装置10中执行的各种处理的控制程序、和/或用于根据处理条件使等离子体处理装置10的各部分执行处理的程序,即处理方案。
下面,基于实施例,对方法MT进行详细的说明。在下面的实施例中,图2所示的晶片W被搬入图3所示的一个等离子体处理装置10内,将该晶片W载置在载置台PD上,利用该载置台PD保持晶片W。在实施例中,在一个晶片W上设置有3个区域,第1区域R1由氧化硅(SiO2)构成,第2区域R2由氮化硅(Si3N4)构成,第3区域R3由碳化硅(SiC)构成。
(实施例1)
在实施例1中,在载置台PD保持晶片W后,执行第1步骤ST11。在第1步骤ST11中,在收纳有晶片W的处理容器12内生成包含含卤气体的处理气体的等离子体和包含不活泼气体的处理气体的等离子体。因此,在第1步骤ST11中,从自气体源组40的多个气体源中选择的气体源对处理容器12内供给处理气体。关于该处理气体,作为含卤气体利用CHF3气体,作为不活泼气体利用Ar气体。在第1步骤ST11中,使排气装置50动作,将处理容器12内的压力设定为规定的压力。此外,在工第1程ST11中,来自第1高频电源62的高频电力被供给至下部电极LE。能够由控制部Cnt控制该步骤ST11中的上述的等离子体处理装置10的各部分的动作。
下面,举例说明步骤ST11中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体
·CHF3气体流量:1sccm~50sccm
·Ar气体流量:200sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
图4是执行了第1步骤ST11的区域的截面图。在3个区域的任一区域上均形成有蚀刻辅助层ML。蚀刻辅助层ML是将区域暴露在包含含卤气体的处理气体的等离子体和包含不活泼气体的处理气体的等离子体中,其结果是,将含硅区域改性而形成的改性层,但是也能够考虑是极薄的沉积层。第1步骤ST11的执行时间长度是5秒。
在实施例1的方法MT中,接着,执行第2步骤ST12。在第2步骤ST12中,对蚀刻辅助层ML施加(施加)能够除去蚀刻辅助层ML的量以上、且比位于蚀刻辅助层ML的正下方的区域能够被溅射的量低的能量。在第2步骤ST12中,在收纳有晶片W的处理容器12内,生成包含不活泼气体的处理气体的等离子体。从自气体源组40的多个气体源中选择的气体源对处理容器12内供给处理气体。作为不活泼气体,利用Ar气体。
在第2步骤ST12中,使排气装置50动作,将处理容器12内的压力设定为规定的压力。此外,在步骤ST12中,将来自第1高频电源62的高频电力供给至下部电极LE。进而,在步骤ST12中,来自第2高频电源64的高频偏置电力被供给至下部电极LE。能够由控制部Cnt控制该步骤ST12中的上述的等离子体处理装置10的各部分的动作。
下面,举例说明步骤ST12中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体
·Ar气体流量:100~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
将由第1步骤ST11和第2步骤ST12构成的流程反复执行50次,直至满足停止条件STa。
图5是表示实施例1中的各区域的50个循环时的蚀刻量(nm)的图表。横轴表示形成在第1区域的各含硅膜的种类。以第1步骤和第2步骤的流程作为1个循环。表面被削去的量根据区域的种类而不同,第2区域R2的氮化硅(Si3N4)表现最大値,第3区域R3的碳化硅(SiC)表现最小値。其中,第3区域R3的SiC是通过化学气相沉积(CVD)法形成的碳化硅。
为了获得该数据而使用的第1步骤S11的处理容器内压力、CHF3气体流量、Ar流量、第1和第2高频电源的电力,是上述的数值范围中的25sccm、1000sccm、100W、0W,第2步骤ST12中的Ar气体流量、第1和第2高频电源的电力是上述的数值范围中的1000sccm、100W、10W,各种条件的范围表示,在使这些参数变化了的情况下也能够获得同样的效果的范围。
在实施例1中,表面被削去的速度具有随着暴露在等离子体中的时间增大而增加量减少的倾向。其结果是,如图4所示,表面区域具有蚀刻辅助层ML,蚀刻辅助层被削去的速度,比未被改性的区域被削去的速度大。
(实施例2)
在实施例2中,在载置台PD保持晶片W后,执行第1步骤ST11。在第1步骤ST11中,在收纳有晶片W的处理容器12内,生成包含含卤气体的处理气体的等离子体和包含含氧气体和不活泼气体的处理气体的等离子体。作为含卤气体利用CHF3气体,作为含氧气体利用氧气。作为不活泼气体利用Ar气体。在第1步骤ST11中,来自第1高频电源62的高频电力被供给至下部电极LE。
下面,举例说明步骤ST11中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体
·CHF3气体流量:1sccm~50sccm
·Ar气体流量:200sccm~1500sccm
·氧气流量:0~20sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
在实施例2中,第1步骤ST11的执行时间长度是5秒。
在实施例2中,接着执行第2步骤ST12。作为不活泼气体,利用Ar气体。在步骤ST12中,使排气装置50动作,将处理容器12内的压力设定为规定的压力。此外,在第2步骤ST12中,来自第1高频电源62的高频电力被供给至下部电极LE。进而,在第2步骤ST12中,将来自第2高频电源64的高频偏置电力供给至下部电极LE。
下面,举例说明第2步骤ST12中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体。
·Ar气体流量:200sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
由第1步骤ST11和第2步骤ST12构成的流程反复执行50次,直至满足停止条件STa。
图6是表示实施例2中的各区域的50个循环时的蚀刻量(nm)的图表。在实施例2中,对不仅导入了Ar气体还导入了氧气(O2)的情况进行验证。
在图6中,表示氧气流量为0sccm时(未供给氧)的蚀刻量(nm)和氧气流量为2sccm时(有供给氧)的蚀刻量(nm)。表面被削去的量,根据区域的种类而不同,当氧气流量为0sccm时,第2区域R2的氮化硅(Si3N4)表现最大值,第3区域R3的碳化硅(SiC)表现最小值。当氧气流量为2sccm时,表面被削去的量,第3区域R3的碳化硅(SiC)表现最大值,第1区域R1的氧化硅(SiO2)表现最小值。
为了获得该数据而使用的第1步骤S11的处理容器内压力、CHF3气体流量、Ar流量、氧气流量、第1和第2高频电源的电力,是上述的数值范围中的25sccm、1000sccm、100W、0W,第2步骤ST12中的Ar气体流量、第1和第2高频电源的电力,是上述的数值范围中的1000sccm、100W、10W,各种条件的范围表示在使这些参数变化了的情况下也能够获得同样的效果的范围。
(实施例3)
在实施例3中,在载置台PD保持晶片W后,执行第1步骤ST11。在第1步骤ST11中,在收纳有晶片W的处理容器12内,生成包含含卤气体的处理气体的等离子体和包含不活泼气体的处理气体的等离子体。作为含卤气体利用NF3气体,作为不活泼气体利用Ar气体。在第1步骤ST11中,来自第1高频电源62的高频电力被供给至下部电极LE。该第1步骤ST11中的上述的等离子体处理装置10的各部分的动作,能够由控制部Cnt控制。
下面,举例说明第1步骤ST11中的各种条件。
处理容器内压力:10mTorr~200mTorr(1.33Pa~26.67Pa)
处理气体
·NF3气体流量:1sccm~200sccm
·Ar气体流量:0sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
在实施例3中,步骤ST11的执行时间长度是5秒。
在实施例3中,接着,执行第2步骤ST12。作为不活泼气体,利用Ar气体。在第2步骤ST12中,将来自第1高频电源62的高频电力供给至下部电极LE。进而,在第2步骤ST12中,将来自第2高频电源64的高频偏置电力供给至下部电极LE。
下面,举例说明第2步骤ST12中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体
·Ar气体流量:200sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
由第1步骤ST11和第2步骤ST12构成的流程反复执行50次,直至满足停止条件STa。
图7的左侧的图表是表示实施例3中的各区域的50个循环时的蚀刻量(nm)的图表。横轴表示形成在第1区域的各含硅膜的种类。表面被削去的量,根据区域的种类而不同,第3区域R3的碳化硅(SiC)表现最大值,第1区域R1的氧化硅(SiO2)表现最小值。
其中,为了获得该数据而使用的第1步骤S11的处理容器内压力、NF3气体流量、Ar流量、第1和第2高频电源的电力,是上述的数值范围中的6sccm、1000sccm、100W、0W,第2步骤ST12中的Ar气体流量、第1和第2高频电源的电力,是上述的数值范围中的1000sccm、100W、10W,各种条件的范围表示在使这些参数变化了的情况下也能够获得同样的效果的范围。
(实施例4)
在实施例4中,在载置台PD保持晶片W后,在执行第1步骤ST11前,进行前处理步骤。在收纳有晶片W的处理容器12内,生成包含含氧气体的处理气体的等离子体和包含不活泼气体的处理气体的等离子体。作为含氧气体利用氧气,作为不活泼气体利用Ar气体。在第1步骤ST11中,将来自第1高频电源62的高频电力供给至下部电极LE。该第1步骤ST11中的上述的等离子体处理装置10的各部分的动作能够由控制部Cnt控制。
下面,举例说明第1步骤ST11的前处理步骤中的各种条件。
处理容器内压力:10mTorr~200mTorr(1.33Pa~26.67Pa)
处理气体
·氧气流量:1sccm~200sccm
·Ar气体流量:0sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
在实施例4中,第1步骤ST11的前处理步骤的执行时间长度是3秒。在实施例4中,接着执行第1步骤ST11。在第1步骤ST11中,在收纳有晶片W的处理容器12内,生成包含含卤气体的处理气体的等离子体和包含不活泼气体的处理气体的等离子体。作为含卤气体利用NF3气体,作为不活泼气体利用Ar气体。在第1步骤ST11中,将来自第1高频电源62的高频电力供给至下部电极LE。该第1步骤ST11中的上述的等离子体处理装置10的各部分的动作能够由控制部Cnt控制。下面,举例说明第1步骤ST11中的各种条件。
处理容器内压力:10mTorr~200mTorr(1.33Pa~26.67Pa)
处理气体
·NF3气体流量:1sccm~200sccm
·Ar气体流量:0sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
在实施例4中,步骤ST11的执行时间长度是5秒。
在实施例4中,接着执行步骤ST12。作为不活泼气体利用Ar气体。在步骤ST12中,将来自第1高频电源62的高频电力供给至下部电极LE。进而,在步骤ST12中,将来自第2高频电源64的高频偏置电力供给至下部电极LE。
下面,举例说明步骤ST12中的各种条件。
处理容器内压力:10mTorr~50mTorr(1.33Pa~6.67Pa)
处理气体
·Ar气体流量:200sccm~1500sccm
第1高频电源62的高频电力:60MHz,50W~500W
第2高频电源64的高频偏置电力:40MHz,0W~50W
由第1步骤ST11和第2步骤ST12构成的流程反复执行50次,直至满足停止条件STa。
在实施例4中,在图7的右侧表示各区域的50个循环时的蚀刻量(nm)。
实施例4表示通过前处理抑制了实施例3的蚀刻的试验结果。这样,在使用了表层的蚀刻辅助层的蚀刻的情况下,能够根据表面的状态使蚀刻进行/停止。
其中,为了获得实施例4的数据而使用的第1步骤S11的处理容器内压力、氧气流量、Ar气体流量、第1和第2高频电源的电力,是上述的数值范围中的6sccm、1000sccm、100W、0W,第2步骤ST12中的Ar气体流量、第1和第2高频电源的电力,是上述数值范围中的1000sccm、100W、10W,各种条件的范围表示在使这些参数变化了的情况下,也能够获得同样的效果的范围。
如上所述,上述的实施方式的蚀刻方法将包括具有彼此不同的组成的多个含硅区域(第1区域R1,第2区域R2,第3区域R3)的被处理体(晶片W)收纳在处理容器内,有选择地对多个含硅区域中的任一个以上的含硅区域进行蚀刻,上述蚀刻方法包括:利用在处理容器内生成的处理气体的等离子体,在多个含硅区域中的任一个以上的含硅区域的表面形成蚀刻辅助层ML的第1步骤ST11;和对蚀刻辅助层ML施加能量的第2步骤ST12,该能量Eg是能够除去蚀刻辅助层ML的能量Ee以上,且比位于蚀刻辅助层ML的正下方的区域能够被溅射的能量Es小(Ee≤Eg<Es),反复执行包括第1步骤ST11和第2步骤ST12的流程。
在该蚀刻方法中,在第1步骤中形成蚀刻辅助层,在第2步骤对蚀刻辅助层施加适当的能量来除去蚀刻辅助层,反复执行这些步骤。由于根据含硅区域的组成,蚀刻辅助层的厚度和被除去的量不同,因此能够有选择地蚀刻成为目的的含硅区域。该方法,在通过蚀刻形成凹部的情况下,在凹部的开口端面上积极地形成来自等离子体气体的沉积物,由于不是保护开口的方法,因此即使在所希望的开口宽度变小了的情况下,也能够有选择地蚀刻开口内的含硅区域。
此外,上述的蚀刻辅助层是将含硅区域的表面改性而形成的改性层或是在含硅区域的表面上沉积有极薄的沉积物的沉积层。当等离子体化的处理气体接触含硅区域时,含硅区域改性(变性),或形成极薄的沉积物。其中,在形成极薄的沉积物的情况下,其厚度为0.1nm~1nm的程度。
其中,各个所述含硅区域包括选自SiC、SiOC、SiOCN、SiON、Si3N4、SiCN和SiO2中的一种物质。由于这些含硅区域,通过上述的步骤而被蚀刻的量明显不同,因此能够可靠地有选择地对成为目的的含硅区域进行蚀刻。其中,在上述实施例中,对于SiC、Si3N4和SiO2进行了验证,即使对于其他材料,只要含有Si,就能够形成蚀刻辅助层,由于蚀刻速度不同,因此能够获得与上述的实施例的情况同样的效果。
此外,上述的处理气体均是通过与含硅区域的表层原子的结合,能够形成蚀刻辅助层的气体,包含选自含碳气体、含氧气体、含氮气体、含卤气体和含氢气体中的至少一种的气体。
即,各种气体与含硅区域的表层原子结合,能够形成蚀刻辅助层。具体而言,含碳气体、含氧气体、含氮气体、含卤气体或含氢气体,能够与硅原子结合。
这里,含碳气体是氢氟烃气体(CH3F、CH2F2、CHF3或CxHyFz(x、y、z是自然数)),或碳氟化合物气体(C4F8或CxFy(x、y是自然数)),含氧气体是O2、CO、COS或CO2,含氮气体是N2、NH3或NF3,含卤气体是Cl2、HBr、NF3、CxHyFz或CxFy(x、y、z是自然数),含氢气体能够列举H2等。
即,上述气体的具体的例子如上所述,这些气体能够与硅原子结合,能够形成上述的改性层或沉积层,因此能够获得与上述的实施例同样的效果。
此外,具体而言,上述处理气体包括NF3或CHF。在采用这些气体的情况下,能够可靠地与硅表面上的硅原子反应,形成蚀刻辅助层,能够实现选择性优异的蚀刻。
此外,在形成凹部的情况下,在纵截面结构中,在上述的蚀刻速度高的含硅区域的两侧,配置蚀刻速度低的含硅区域即可。在此情况下,能够有选择地蚀刻蚀刻速度高的区域,形成凹部。
附图标记的说明
10……等离子体处理装置,12……处理容器,30……上部电极,PD……载置台,LE……下部电极,ESC……静电吸盘,40……气体源组,42……阀组,44……流量控制器组,50……排气装置,62……第1高频电源,64……第2高频电源,Cnt……控制部,W……晶片,R1……第1区域,R2……第2区域,R3……第3区域,ML……蚀刻辅助层。

Claims (6)

1.一种蚀刻方法,将包括具有彼此不同的组成的多个含硅区域的被处理体收纳在处理容器内,有选择地对所述多个含硅区域中的任一个以上的含硅区域进行蚀刻,所述蚀刻方法的特征在于,包括:
第1步骤,利用在所述处理容器内生成的处理气体的等离子体,在所述多个含硅区域中的任一个以上的表面形成蚀刻辅助层;和
对所述蚀刻辅助层施加能量的第2步骤,
所述能量是能够除去所述蚀刻辅助层的能量以上的能量,比位于所述蚀刻辅助层的正下方的区域能够被溅射的能量小,
反复执行包括所述第1步骤和所述第2步骤的流程。
2.如权利要求1所述的蚀刻方法,其特征在于:
所述蚀刻辅助层是将所述含硅区域的表面改性而形成的改性层或在所述含硅区域的表面上沉积有沉积物的沉积层。
3.如权利要求1或2所述的蚀刻方法,其特征在于:
各个所述含硅区域包含选自SiC、SiOC、SiOCN、SiON、Si3N4、SiCN和SiO2中的一种物质。
4.如权利要求1~3中任一项所述的蚀刻方法,其特征在于:
所述处理气体是通过与所述含硅区域的表层原子的结合,能够形成所述蚀刻辅助层的气体,
包含选自含碳气体、含氧气体、含氮气体、含卤气体和含氢气体中的至少一种气体。
5.如权利要求4所述的蚀刻方法,其特征在于:
所述含碳气体是氢氟烃气体或碳氟化合物气体,
所述含氧气体是O2、CO、COS或CO2
所述含氮气体是N2、NH3或NF3
所述含卤气体是Cl2、HBr、NF3、CxHyFz或CxFy,其中,x、y、z是自然数,
所述含氢气体是H2
6.如权利要求1~5中任一项所述的蚀刻方法,其特征在于:
所述处理气体包含NF3或CHF3
CN201780031109.3A 2016-05-20 2017-05-16 蚀刻方法 Active CN109219866B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016101744A JP6606464B2 (ja) 2016-05-20 2016-05-20 エッチング方法
JP2016-101744 2016-05-20
PCT/JP2017/018375 WO2017199958A1 (ja) 2016-05-20 2017-05-16 エッチング方法

Publications (2)

Publication Number Publication Date
CN109219866A true CN109219866A (zh) 2019-01-15
CN109219866B CN109219866B (zh) 2023-06-23

Family

ID=60325138

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780031109.3A Active CN109219866B (zh) 2016-05-20 2017-05-16 蚀刻方法

Country Status (6)

Country Link
US (2) US10553442B2 (zh)
JP (1) JP6606464B2 (zh)
KR (1) KR102496968B1 (zh)
CN (1) CN109219866B (zh)
TW (1) TWI766866B (zh)
WO (1) WO2017199958A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019235196A1 (ja) * 2018-06-08 2021-06-17 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
WO2020005394A1 (en) * 2018-06-29 2020-01-02 Tokyo Electron Limited Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
JP7138529B2 (ja) * 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
US20150162168A1 (en) * 2013-12-06 2015-06-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
CN105390388A (zh) * 2014-08-28 2016-03-09 东京毅力科创株式会社 蚀刻方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0770513B2 (ja) * 1985-05-13 1995-07-31 株式会社日立製作所 エッチングの方法およびエッチング装置
JPH0770513A (ja) * 1993-08-02 1995-03-14 Kansai Paint Co Ltd 上塗用塗料組成物
JP3681533B2 (ja) 1997-02-25 2005-08-10 富士通株式会社 窒化シリコン層のエッチング方法及び半導体装置の製造方法
US5965035A (en) 1997-10-23 1999-10-12 Applied Materials, Inc. Self aligned contact etch using difluoromethane and trifluoromethane
US6656375B1 (en) 1998-01-28 2003-12-02 International Business Machines Corporation Selective nitride: oxide anisotropic etch process
JP2000307001A (ja) 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法
JP2002319574A (ja) 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4301146B2 (ja) * 2004-11-09 2009-07-22 ソニー株式会社 絶縁膜の加工方法
JP4470717B2 (ja) * 2004-12-07 2010-06-02 富山県 プラズマエッチング方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5580844B2 (ja) 2012-03-06 2014-08-27 東京エレクトロン株式会社 エッチング方法
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
US20150162168A1 (en) * 2013-12-06 2015-06-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
CN105390388A (zh) * 2014-08-28 2016-03-09 东京毅力科创株式会社 蚀刻方法

Also Published As

Publication number Publication date
JP2017208510A (ja) 2017-11-24
US20190019685A1 (en) 2019-01-17
JP6606464B2 (ja) 2019-11-13
KR20190008227A (ko) 2019-01-23
TW201742149A (zh) 2017-12-01
KR102496968B1 (ko) 2023-02-06
US10553442B2 (en) 2020-02-04
TWI766866B (zh) 2022-06-11
CN109219866B (zh) 2023-06-23
US11462412B2 (en) 2022-10-04
WO2017199958A1 (ja) 2017-11-23
US20200144068A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
TWI760555B (zh) 蝕刻方法
CN106206286B (zh) 蚀刻方法
CN105390389B (zh) 高深宽比结构中的触点清洁
CN109219866A (zh) 蚀刻方法
US9911607B2 (en) Method of processing target object
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
TWI697046B (zh) 蝕刻方法
CN106067417B (zh) 蚀刻有机膜的方法
TWI716378B (zh) 蝕刻方法
TWI694531B (zh) 蝕刻方法
JP2019186322A (ja) 被加工物の処理方法
TWI722187B (zh) 蝕刻方法
US11600501B2 (en) Etching method and plasma processing apparatus
CN109755123A (zh) 等离子体蚀刻方法
TWI713486B (zh) 蝕刻方法(二)
CN104103580A (zh) 等离子体处理方法和等离子体处理装置
JP2019121685A (ja) エッチング方法
CN109075068A (zh) 蚀刻方法
CN110021524A (zh) 蚀刻方法
CN105810581A (zh) 蚀刻方法
CN111819667A (zh) 等离子体处理方法和等离子体处理装置
CN105810579A (zh) 蚀刻方法
JP7343461B2 (ja) エッチング方法及びプラズマ処理装置
JP2022077710A (ja) エッチング方法
CN105304465B (zh) 工艺室、制备工艺室的方法和操作工艺室的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant