TWI694531B - 蝕刻方法 - Google Patents

蝕刻方法 Download PDF

Info

Publication number
TWI694531B
TWI694531B TW105121162A TW105121162A TWI694531B TW I694531 B TWI694531 B TW I694531B TW 105121162 A TW105121162 A TW 105121162A TW 105121162 A TW105121162 A TW 105121162A TW I694531 B TWI694531 B TW I694531B
Authority
TW
Taiwan
Prior art keywords
gas
region
processing
plasma
fluorocarbon
Prior art date
Application number
TW105121162A
Other languages
English (en)
Other versions
TW201717300A (zh
Inventor
田端雅弘
勝沼隆幸
本田昌伸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201717300A publication Critical patent/TW201717300A/zh
Application granted granted Critical
Publication of TWI694531B publication Critical patent/TWI694531B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域的方法。
一實施形態之方法係包含:在電漿處理裝置之處理容器內準備具有第1區域及第2區域之被處理體的工序;以及在處理容器內生成含有氟碳氣體及稀有氣體之處理氣體的電漿之工序。在生成處理氣體的電漿之該工序中,自偏壓電位為4V以上,350V以下。又,處理氣體中之稀有氣體流量相對於處理氣體中之氟碳氣體流量係250倍以上,5000倍以下。

Description

蝕刻方法
本發明實施形態係關於一種蝕刻方法,特別是關於一種相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域的蝕刻方法。
在電子元件之製造中,會進行有相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域。在此般蝕刻中係例如專利文獻1所記載般,在電漿處理裝置之處理容器內生成含有氟碳氣體、氬氣以及氧氣的處理氣體之電漿。
又,作為一種相對於第2區域而選擇性地蝕刻第1區域的技術,已知一種SAC(Self-Aligned Contact)技術。在SAC技術中,會自整合地蝕刻填埋於第2區域所形成之凹部內的第1區域。在SAC技術中,亦可使用上述處理氣體,來在電漿處理容器內生成該處理氣體之電漿。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開2002-25979號公報
然而,上述先前技術中,會在蝕刻第1區域時,被蝕刻掉不少第2區域。從而,便要求要能抑制侵蝕由氮化矽所構成之第2區域,並且蝕刻由氧化矽所構成之第1區域。
在一態樣中,係提供一種相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域的蝕刻方法。此方法係含有:(i)在電漿處理裝置之處理容器內準備具有第1區域及第2區域之被處理體的工序;以及(ii) 在處理容器內生成含有氟碳氣體及稀有氣體之處理氣體的電漿之工序。在生成處理氣體的電漿之該工序中,於其上載置有被處理體之下部電極之自偏壓電位為4V以上,350V以下。又,處理氣體中之稀有氣體流量相對於處理氣體中之氟碳氣體的流量係250倍以上,5000倍以下。
上述一態樣相關之方法中,由於處理氣體中之氟碳氣體會藉由較大量的稀有氣體來被加以稀釋,又,自偏壓電位為4V以上,350V以下,故會抑制於蝕刻第1區域中之第2區域的侵蝕。
另外,將將下部電極之自偏壓電位設定為4V以上時,被照射至被處理體之離子的能量會成為4eV以上的能量。在將具有4eV以上能量之離子照射至被處理體時,便會打斷構成第1區域之矽與氧的鍵結,而生成矽與氟之反應生成物。此反應生成物會被排氣。又,上述方法中,係在蝕刻第1區域時,於第2區域上形成有因處理氣體之解離而產生的含有氟碳氣體及/或碳的沉積物。在將下部電極之自偏壓電位設定為350V以下時,被照射至被處理體之離子能量便會成為稍微較350eV要大之能量以下的能量。具有此般能量之離子不會貫穿厚度為2nm的沉積物。從而,若是自偏壓電位為350V以下的話,便可抑制第2區域之侵蝕。又,在藉由250倍以上,5000倍以下的較大量之稀有氣體來稀釋處理氣體中之氟碳氣體時,便可不妨礙蝕刻第1區域而進一步地抑制第2區域之侵蝕。這可推測是因為沉積物中之氟的量減少,而在第2區域上形成有較硬質的沉積物。
一實施形態之生成處理氣體的電漿之該工序為了將處理氣體供給至處理容器內,係從第1氣體源來將含有氟碳氣體及稀有氣體的第1氣體供給至處理容器內,並且從第2氣體源來僅供給稀有氣體或以與第1氣體相異之體積比來供給含有氟碳氣體及稀有氣體的第2氣體至處理容器內。根據此實施形態,便可相對於稀有氣體流量而高精度地調整極少量之氟碳氣體流量。
一實施形態之生成處理氣體的電漿之該工序為了將處理氣體供給至處理容器內,亦可從單一氣體源來將含有氟碳氣體及稀有氣體之混合氣體供給至處理容器內。
一實施形態中,稀有氣體亦可為氬氣。又,一實施形態中,處理氣體亦可進一步地含有氧氣。
一實施形態中,第2區域係形成凹部,第1區域係以填埋凹部,且覆蓋 第2區域的方式來加以設置。此實施形態之方法係可進一步地含有:在包含露出第2區域時的期間,為了蝕刻第1區域而實行一次以上的機制之工序。一次以上的機制係含有:在處理容器內生成含有氟碳氣體之處理氣體的電漿,且於第1區域及第2區域上形成含有氟碳之沉積物的工序;以及在處理容器內生成非活性氣體之電漿的工序。根據此實施形態,便可在露出第2區域時藉由沉積物來更確實地保護該第2區域,而在之後藉由沉積物中的自由基來蝕刻第1區域。從而,便可進一步地抑制第2區域之侵蝕。
如上述說明般,便可抑制由氮化矽所構成之第2區域的侵蝕,並蝕刻由氧化矽所構成之第1區域。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
30‧‧‧上部電極
50‧‧‧排氣裝置
PD‧‧‧載置台
LE‧‧‧下部電極
ESC‧‧‧靜電夾具
62‧‧‧第1高頻電源
64‧‧‧第2高頻電源
GU‧‧‧氣體供給部
GSG‧‧‧氣體源群
Cnt‧‧‧控制部
W‧‧‧晶圓
MK‧‧‧遮罩
R1‧‧‧第1區域
R2‧‧‧第2區域
DP‧‧‧沉積物
圖1係顯示一實施形態相關之蝕刻方法的流程圖。
圖2係被處理體一範例之部分擴大剖面圖。
圖3係概略地顯示電漿處理裝置一範例之圖式。
圖4係顯示氣體供給部一範例之圖式。
圖5係顯示氣體供給部另一範例之圖式。
圖6係顯示氣體供給部又一範例之圖式。
圖7係擴大顯示實施圖1所示之方法的中途階段之被處理體一部分的剖面圖。
圖8係擴大顯示實施圖1所示之方法的中途階段之被處理體一部分的剖面圖。
圖9係擴大顯示實施圖1所示之方法的中途階段之被處理體一部分的剖面圖。
圖10係擴大顯示實施圖1所示之方法後之被處理體一部分的剖面圖。
圖11係顯示另一實施形態相關之蝕刻方法的流程圖。
圖12係擴大顯示圖11所示之方法的實施中途階段之被處理體一部分的剖面圖。
圖13係擴大顯示圖11所示之方法的實施中途階段之被處理體一部分的剖面圖。
圖14係擴大顯示圖11所示之方法的實施中途階段之被處理體一部分的 剖面圖。
圖15係擴大顯示圖11所示之方法的實施中途階段之被處理體一部分的剖面圖。
圖16係就實驗例1~3及比較實驗例1~2來加以說明用之圖式。
以下,便參照圖式就各種實施形態來詳細地說明。另外,各圖式中係對相同或相當的部分附加相同的符號。
圖1係顯示一實施形態相關之蝕刻方法的流程圖。圖1所示之方法MT係相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域的方法。
圖2係被處理體一範例之部分擴大剖面圖。如圖2所示,被處理體(以下會有稱為「晶圓W」之情況)係具有基板SB、第1區域R1、第2區域R2以及之後會構成遮罩的有機膜OL。一範例中,晶圓W係在鰭型場效電晶體之製造途中所得到者,且進一步地具有隆起區域RA、含矽之反射防止膜AL以及阻劑遮罩RM。
隆起區域RA係設置為從基板SB隆起。此隆起區域RA可例如構成閘極區域。第2區域R2係由氮化矽(Si3N4)所構成,並設置於隆起區域RA表面以及基板SB表面上。此第2區域R2如圖2所示,係以形成凹部的方式來加以延伸。在一範例中,凹部之凹度約150nm,凹部之寬度約20nm。
第1區域R1係由氧化矽(SiO2)所構成,並設置於第2區域R2上。具體而言,第1區域R1會設置為填埋第2區域R2所形成之凹部,並覆蓋該第2區域R2。
有機膜OL會設置於第1區域R1上。有機膜OL可由有機材料,例如非晶碳所構成。反射防止膜AL會設置於有機膜OL上。阻劑遮罩RM會設置於反射防止膜AL上。阻劑遮罩RM會提供於第2區域R2所區劃出之凹部上具有較該凹部寬度要寬之寬度的開口。阻劑遮罩RM之開口寬度為例如60nm。如此般之阻劑遮罩RM的圖案係由光微影技術所形成。
方法MT中,圖2所示之晶圓W般之被處理體會在電漿處理裝置內被處理。圖3係概略地顯示電漿處理裝置一範例的圖式。圖3所示之電漿處理裝 置10係電容耦合型電漿蝕刻裝置。電漿處理裝置10係具備有略圓筒狀之處理容器12。處理容器12係例如由鋁所構成,該處理容器12內壁面係施有陽極氧化處理。此處理容器12係保全接地。
處理容器12底部上係設置有略圓筒狀之支撐部14。支撐部14係例如由絕緣材料所構成。支撐部14會在處理容器12內從處理容器12底部延伸於垂直方向。又,處理容器12內係設置有載置台PD。載置台PD會藉由支撐部14來被加以支撐。
載置台PD會在其上面保持晶圓W。載置台PD係具有下部電極LE及靜電夾具ESC。下部電極LE係含有第1板體18a及第2板體18b。第1板體18a及第2板體18b係例如由所謂鋁之金屬所構成,並具有略圓盤形狀。第2板體18b會設置於第1板體18a上,並電性連接於第1板體18a。
第2板體18b上係設置有靜電夾具ESC。靜電夾具ESC係具有將導電膜之電極配置於一對絕緣層或絕緣薄板之間的構造。靜電夾具ESC之電極會透過開關23來電性連接有直流電源22。此靜電夾具ESC會藉由來自直流電源22之直流電壓所產生的庫倫力等之靜電力來吸附晶圓W。藉此,靜電夾具ESC便可保持晶圓W。
第2板體18b周緣部上會以圍繞晶圓W邊緣及靜電夾具ESC的方式來配置有聚焦環FR。聚焦環FR係為了提升蝕刻均勻性而加以設置。聚焦環FR係由根據蝕刻對象膜之材料而適當選擇的材料所構成,例如可由石英所構成。
第2板體18b內部係設置有冷媒流道24。冷媒流道24會構成溫控機構。冷媒流道24會從設置於處理容器12外部之冷卻器單元,透過配管26a來供給有冷媒。供給至冷媒流道24之冷媒會透過配管26b而回到冷卻器單元。如此般,在冷媒流道24與冷卻器單元之間便會循環有冷媒。藉由控制此冷媒之溫度,來控制靜電夾具ESC所支撐之晶圓W溫度。
又,電漿處理裝置10係設置有氣體供給管線28。氣體供給管線28會將來自導熱氣體供給機構之導熱氣體,例如He氣體供給至靜電夾具ESC上面與晶圓W內面之間。
又,電漿處理裝置10係具備上部電極30。上部電極30會在載置台PD上方與該載置台PD對向配置。下部電極LE與上部電極30會互相設置為平行。上部電極30與載置台PD之間係提供有用以對晶圓W進行電漿處理之處理空 間S。
上部電極30會透過絕緣性遮蔽構件32來被支撐於處理容器12上部。在一實施形態中,上部電極30可構成為起自載置台PD上面,亦即晶圓載置面之垂直方向中的距離為可變。上部電極30可含有頂板34及支撐體36。頂板34會面向處理空間S,該頂板34係設置有複數氣體噴出孔34a。此頂板34在一實施形態中,係由矽所構成。
支撐體36會裝卸自如地支撐頂板36,並可例如由所謂鋁的導電性材料所構成。此支撐體36可具有水冷構造。支撐體36內部係設置有氣體擴散室36a。從此氣體擴散室36a連通至氣體噴出孔34a的複數氣體流通孔36b會朝下方延伸。又,支撐體36係形成有將處理氣體導入至氣體擴散室36a的氣體導入口36c,此氣體導入口36c係連接有氣體供給管38。
氣體供給管38係連接有氣體供給部GU。圖4係顯示氣體供給部一範例之圖式。圖4所示之第1範例的氣體供給部GU係具有氣體源群GSG、閥群42、流量控制器群43以及閥群44。第1範例之氣體供給部GU中,氣體源群GSG係含有複數氣體源GS1~GS7,閥群42係含有複數閥421~427,流量控制器群43係含有複數流量控制器431~437,閥群44係含有複數閥441~447。另外,各流量控制器431~437係質流控制器或壓力控制式之流量控制器。
氣體源GS1係C4F8氣體源,並透過閥421、流量控制器431以及閥441來連接於氣體供給管38。氣體源GS2係CF4氣體源,並透過閥422、流量控制器432以及閥442來連接於氣體供給管38。氣體源GS3係C4F6氣體源,並透過閥423、流量控制器433以及閥443來連接於氣體供給管38。氣體源GS4係稀有氣體源,並透過閥424、流量控制器434以及閥444來連接於氣體供給管38。另外,稀有氣體可為所謂He氣體、Ne氣體、Ar氣體、Kr氣體的任意之稀有氣體。氣體源GS5係氮氣(N2氣體)氣體源,並透過閥425、流量控制器435以及閥445來連接於氣體供給管38。氣體源GS6係氫氣(H2氣體)氣體源,並透過閥426、流量控制器436以及閥446來連接於氣體供給管38。又,氣體源GS7係氧氣(O2氣體)氣體源,並透過閥427、流量控制器437以及閥447來連接於氣體供給管38。另外,第1範例之氣體供給部GU亦可進一步地具有含氧氣體,例如氧化碳氣體源,以及設置於該氣體源與氣體供給管38之間的閥、流量控制器以及閥。
此第1範例之氣體供給部GU係具有相異氣體種類之複數單一氣體用的複數氣體源,且構成為調整來自所選擇之一種以上的氣體源的氣體流量,而將流量調整後之氣體供給至氣體供給管38。
圖5係顯示氣體供給部之另一範例的圖式。在圖5所示之第2範例的氣體供給部GU中,氣體源群GSG除了上述複數氣體源GS1~GS7以外,更含有氣體源GS8。又,第2範例之氣體供給部GU中,閥群42係進一步地含有閥428,流量控制器群43係進一步地含有流量控制器438,閥群44係進一步地含有閥448。另外,第2範例之氣體供給部GU亦可進一步地具有含氧氣體,例如氧化碳氣體源,以及設置於該氣體源與氣體供給管38之間的閥、流量控制器以及閥。
氣體源GS8係含有氟碳氣體與稀有氣體的混合氣體,亦即第1氣體源。氟碳氣體係例如C4F6氣體,稀有氣體係如先前所例示般之任意的稀有氣體。此氣體源GS8會透過閥428、流量控制器438以及閥448來連接於氣體供給管38。來自氣體源GS8之氣體可在後述工序ST4所使用。工序ST4所使用之處理氣體係以大流量之稀有氣體來稀釋氟碳氣體的氣體。從而,在此處理氣體的全部流量中,氟碳氣體流量會相當小。在從單一氣體的氣體源來進行供給此般流量之氟碳氣體的情況,流量控制器所要求之流量控制精度便會很高。另一方面,第2範例之氣體供給部GU中,會使用以對應於氟碳氣體之所欲流量及稀有氣體之所欲流量的混合比來含有氟碳氣體與稀有氣體之混合氣體用的單一氣體源GS8。從而,藉由第2範例之氣體供給部GU,即便不使用高精度之流量控制器,仍可供給所欲流量之氟碳氣體與所欲流量之稀有氣體。
圖6係顯示氣體供給部之又一範例的圖式。圖6所示之第3範例的氣體供給部GU中,氣體源群GSG除了上述複數氣體源GS1~GS8以外,更含有氣體源GS9。又,第2範例之氣體供給部GU中,閥群42係進一步地含有閥429,流量控制器群43係進一步地含有流量控制器439,閥群44係進一步地含有閥449。另外,第3範例之氣體供給部GU亦可進一步地具有含氧氣體,例如氧化碳氣體源,以及設置於該氣體源與氣體供給管38之間的閥、流量控制器以及閥。
氣體源GS9係第2氣體源,該第2氣體係僅含有稀有氣體或是含有氟碳氣 體與稀有氣體。在第2氣體為含有氟碳氣體與稀有氣體的情況,氣體源GS9會以與氣體源GS8中之第1氣體相異的體積比來含有氟碳氣體與稀有氣體。此第3範例之氣體供給部GU的氣體源GS8及氣體源GS9係為了在工序ST4中供給處理氣體而加以使用。該等工序中,係對應於氟碳氣體之所欲流量及稀有氣體之所欲流量來調整氣體源GS8之第1氣體流量以及氣體源GS9之第2氣體流量。藉此,即便不使用高精度之流量控制器,仍可以高分解能來控制處理氣體中之氟碳氣體流量。
例如,假設在氣體源GS8之第1氣體含有體積比為0.1%的氟碳氣體及99.9%的稀有氣體,氣體源GS9之第2氣體僅含有稀有氣體或僅含有氟碳氣體的情況。在此情況下,於將第1氣體流量調整為500sccm,第2氣體流量調整為500sccm時,稀有氣體流量會成為約1000sccm,氟碳氣體流量會成為0.5sccm。又,於將第1氣體流量調整為490sccm,第2氣體流量調整為510sccm時,稀有氣體流量會成為約1000sccm,氟碳氣體流量會成為0.49sccm。如此般,即便氣體源GS8之第1氣體流量及氣體源GS9之第2氣體流量的調整分解能降低,仍可以高分解能來調整氟碳氣體流量。
再次參照圖3。電漿處理裝置10係沿著處理容器12內壁來裝卸自如地設置有沉積遮罩46。沉積遮罩46亦設置於支撐部14外周。沉積遮罩46會防止蝕刻副產物(沉積物)附著於處理容器12,且可藉由於鋁材披覆Y2O3等的陶瓷來加以構成。
處理容器12底部側,以及支撐部14與處理容器12側壁之間係設置有排氣板48。排氣板48係形成有於板厚方向貫穿該排氣板48之複數貫穿孔。排氣板48係可例如藉由於鋁材披覆Y2O3等的陶瓷來加以構成。此排氣板48下方以及處理容器12係設置有排氣口12e。排氣口12e會透過排氣管52來連接有排氣裝置50。排氣裝置50係具有壓力調節閥以及渦輪分子泵等的真空泵,並可將處理容器12內之空間減壓至所欲真空度。又,處理容器12側壁係設置有晶圓W的搬出入口12g,此搬出入口12g可藉由閘閥54來加以開閉。
又,電漿處理裝置10係進一步地具備第1高頻電源62及第2高頻電源64。第1高頻電源62係產生電漿生成用之高頻的電源,會產生例如27~100MHz之頻率的高頻。第1高頻電源62會透過匹配器66來連接於下部電極LE。匹配器66係具有用以匹配第1高頻電源62之輸出阻抗與負載側(下部 電極LE側)的輸入阻抗的電路。另外,第1高頻電源62亦可透過匹配器66來連接於上部電極30。
第2高頻電源64係產生吸引離子至晶圓W用之高頻偏壓的電源,會產生例如400kHz~13.56MHz之範圍內的頻率之高頻偏壓。第2高頻電源64會透過匹配器68來連接於下部電極LE。匹配器68係具有用以匹配第2高頻電源64之輸出阻抗與負載側(下部電極LE側)的輸入阻抗的電路。
又,電漿處理裝置10係進一步地具備電源70。電源70會連接於上部電極30。電源70會將吸引存在於處理空間S內的正離子至頂板34用的電壓施加至上部電極30。在一範例中,電源70係產生負直流電壓之直流電源。在另一範例中,電源70亦可為產生較低頻之交流電壓的交流電源。從電源70施加至上部電極之電壓可為-150V以下的電壓。亦即,電源70施加至上部電極30之電壓可為絕對值為150V以上的負電壓。在從電源70來施加此般電壓至上部電極30時,便會使得存在於處理空間S的正離子衝撞於頂板34。藉此,便會從頂板34來釋放出二次電子及/或矽。所釋放出之矽會與存在於處理空間S內的氟活性基鍵結,而使得氟活性基的量減少。
又,一實施形態中,電漿處理裝置10可進一步地具備控制部Cnt。此控制部Cnt係具備有處理器、記憶部、輸入裝置、顯示裝置等的電腦,並控制電漿處理裝置10之各部。此控制部Cnt可使用輸入裝置,來讓操作者為了管理電漿處理裝置10而進行指令的輸入操作等,又,可藉由顯示裝置,來將電漿處理裝置10之運作狀況可視化而加以顯示。進一步地,控制部Cnt的記憶部係儲存有藉由處理器來控制電漿處理裝置10所實行之各種處理用的控制程式,以及對應於處理條件來讓電漿處理裝置10之各部實行處理用的程式,亦即處理配方。
以下,便再次參照圖1,就方法MT來詳細地說明。以下,便一起適當地參照圖1、圖2、圖7~圖10。圖7~圖9係擴大顯示實施圖1所示之方法的中途階段之被處理體一部分的剖面圖,圖10係擴大顯示實施圖1所示之方法後之被處理體一部分的剖面圖。以下說明中,便就方法MT中使用圖3所示之一個電漿處理裝置10來處理圖2所示之晶圓W的範例來加以說明。另外,在使用電漿處理裝置10來實施之方法MT的各工序中,該電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。
首先,方法MT會實行工序ST1。工序ST1中,會在電漿處理裝置10之處理容器12內準備圖2所示之晶圓W。具體而言,係將晶圓W搬入至處理容器12內,而將該晶圓W載置於載置台PD上,並藉由該載置台PD之靜電夾具ESC來被加以保持。
方法MT會接著實行工序ST2。工序ST2會蝕刻反射防止膜AL。因此,工序ST2會從氣體源群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。此處理氣體係含有氟碳氣體。氟碳氣體係可例如含有C4F8氣體及CF4氣體中的一種以上。又,此處理氣體係可進一步地含有稀有氣體,例如Ar氣體。又,工序ST2會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST2會將來自第1高頻電源62之高頻供給至下部電極LE,將來自第2高頻電源64之高頻偏壓供給至下部電極LE。
工序ST2會生成處理氣體之電漿,而藉由氟碳及/或氟活性基來在從阻劑遮罩RM之開口露出的部分中蝕刻反射防止膜AL。其結果,便如圖7所示,會在反射防止膜AL的全區域中去除掉從阻劑遮罩RM之開口露出的部分。亦即,會將阻劑遮罩RM的圖案轉印至反射防止膜AL,而於反射防止膜AL形成有提供開口之圖案。
接著的工序ST3會蝕刻有機膜OL。因此,工序ST3會從氣體源群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。此處理氣體可含有氫氣及氮氣。另外,工序ST3所使用之處理氣體只要為可蝕刻有機膜者的話,亦可為含有其他氣體,例如氧氣之處理氣體。又,工序ST3會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST3會將來自第1高頻電源62之高頻供給至下部電極LE,將來自第2高頻電源64之高頻偏壓供給至下部電極LE。
工序ST3會生成處理氣體之電漿,並在從反射防止膜AL之開口露出的部分中蝕刻有機膜OL。又,阻劑遮罩RM亦會被蝕刻。其結果,便如圖8所示,會去除阻劑遮罩RM,而在有機膜OL之全區域中去除從反射防止膜AL之開口露出的部分。亦即,將反射防止膜AL之圖案轉印至有機膜OL,而於有機膜OL形成有提供開口的圖案,並從該有機膜OL來生成遮罩MK。
接著的工序ST4會蝕刻第1區域R1。因此,工序ST4會在處理容器12內 生成處理氣體之電漿。此處理氣體係含有氟碳氣體及稀有氣體。氟碳氣體係例如為C4F6氣體。又,稀有氣體係例如為氬氣(Ar氣體)。又,一實施形態中,處理氣體係進一步地含有氧氣。藉由於處理氣體含有氧氣,便可適當地調整後述沉積物DP的量。
工序ST4會從氣體源群GSG的複數氣體源中所選擇的氣體源來將上述處理氣體供給至處理容器12內。一實施形態中,係藉由上述第1~第3範例之氣體供給部GU的任一者來供給處理氣體。在使用第2範例之氣體供給部GU的情況,會從氣體源GS8來供給氟碳氣體與稀有氣體之混合氣體。又,在使用第3範例之氣體供給部GU的情況,會從氣體源GS8(第1氣體源)來供給含有氟碳氣體與稀有氣體之第1氣體,從氣體源GS9(第2氣體源)來供給僅含有稀有氣體,或著以與第1氣體相異之體積比來含有氟碳氣體及稀有氣體的第2氣體。又,工序ST4會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST4會將來自第1高頻電源62之高頻供給至下部電極LE。另外,來自第2高頻電源64之對下部電極LE的高頻偏壓之供給進不進行都可以。
工序ST4會以於其上載置有晶圓W之下部電極LE的自偏壓電位成為4V以上,350V以下的方式來設定來自第1高頻電源62之高頻電力。又,在除了來自第1高頻電源62之高頻以外,尚將來自第2高頻電源64之高頻偏壓供給至下部電極LE的情況,便會以產生上述自偏壓電位的方式來設定來自第1高頻電源62之高頻電力,以及來自第2高頻電源64之高頻偏壓電力兩者。另外,自偏壓電位有來自第1高頻電源62之高頻電力越大則越高的傾向,以及來自第2高頻電源64之高頻偏壓電力越大則越高的傾向。又,自偏壓電位亦依存於處理空間12內之空間壓力、來自第1高頻電源62之高頻的頻率以及來自第2高頻電源64之高頻偏壓的頻率。但是,若是決定了處理空間12內之空間壓力、來自第1高頻電源62之高頻的頻率以及來自第2高頻電源64之高頻偏壓的頻率等的話,便可依照上述傾向並藉由調整來自第1高頻電源62之高頻電力以及來自第2高頻電源64之高頻偏壓電力,來將自偏壓電位設定為所欲之數值。
又,工序ST4中,處理氣體中之稀有氣體流量相對於處理氣體中之氟碳氣體的流量會設定為250倍以上,5000倍以下的流量。亦即,工序ST4會使 用以大量稀有氣體來稀釋的氟碳氣體。
於下例示工序ST4中之各種處理條件
●處理容器內壓力:10mTorr(1.33Pa)~100mTorr(13.3Pa)
●處理氣體
C4F6氣體:0.2sccm~4sccm
Ar氣體:500sccm~1500sccm
O2氣體:0.2sccm~5sccm
●電漿生成用之高頻電力:30W~500W
●高頻偏壓電力:0W~100W
●電源70之負直流電壓:0V~-600V
工序ST4如圖9所示,會藉由處理氣體中之電漿生成所得到之氟碳及/或氟活性基來蝕刻第1區域R1。又,在遮罩MK表面、區劃出蝕刻所形成之開口的側壁面以及第2區域R2表面形成有包含氟碳及/或碳之沉積物DP。藉由此沉積物DP來保護第2區域R2,並進行第1區域R1之蝕刻。然後,在結束工序ST4時,如圖10所示,將第1區域R1蝕刻至第2區域R2所形成之凹部底面。
藉由相關方法MT之工序ST4,來以較大量之稀有氣體稀釋處理氣體中之氟碳氣體,又,由於自偏壓電位為4V以上,350V以下,故會抑制第1區域R1之蝕刻中的第2區域R2的侵蝕。
另外,在將下部電極LE之自偏壓電位設定為4V以上時,照射至晶圓W之離子能便會成為4eV以上的能量。在將具有4eV以上之能量的離子照射至晶圓W時,構成第1區域R1之矽與氧的鍵結便會被打斷,而生成矽與氟的反應生成物。將此反應生成物排氣。又,在將下部電極LE之自偏壓電位設定為350V以下時,照射至晶圓W之離子能會成為稍微較350eV要大之能量以下的能量。具有此般能量的離子不會貫穿厚度為2nm之沉積物DP。從而,若是自偏壓電位為350V以下的話,便會抑制第2區域R2之侵蝕。又,在將工序ST4中所使用之處理氣體中的氟碳氣體以250倍以上,5000倍以下的較大量之稀有氣體來稀釋時,便可不妨礙第1區域之蝕刻,而進一步地抑制第2區域R2的侵蝕。這推測是因為沉積物DP中之氟的量減少,而於第2區域R2上形成較硬質的沉積物DP。
以下,便就另一實施形態相關之蝕刻方法來加以說明。圖11係顯示另 一實施形態相關之蝕刻方法的流程圖。圖11所示之方法MT2係在含有機制SQ的點上而與方法MT有所相異。方法MT2亦可進一步地含有工序ST5。以下,除了圖11之外,再多參照圖12~圖15。圖12~圖15係擴大顯示實施圖11所示之方法的中途階段中的被處理體一部分之剖面圖。又,以下說明中,便就方法MT2中使用圖3所示之一個電漿處理裝置10來處理圖2所示之晶圓W的範例來加以說明。另外,在使用電漿處理裝置10來實施之方法MT2的各工序中,該電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。
方法MT2中,會與方法MT同樣地實行工序ST1~工序ST3,而得到如圖8所示之狀態的晶圓W。接著,實行工序ST5。工序ST5會讓第1區域R1被蝕刻至即將露出第2區域R2前。亦即,蝕刻該第1區域R1至第2區域R2僅殘留些許第1區域R1。因此,工序ST5會從氣體源群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。此處理氣體係含有氟碳氣體。又,此處理氣體係可進一步地含有稀有氣體,例如Ar氣體。又,此處理氣體係可進一步地含有氧氣。又,工序ST5會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST5會將來自第1高頻電源62之高頻供給至下部電極LE,將來自第2高頻電源64之高頻偏壓供給至下部電極LE。
如圖12所示,工序ST5會生成處理氣體之電漿,而在從遮罩MK之開口露出的部分中藉由氟碳及/或氟活性基來蝕刻第1區域R1。又,工序ST5會在遮罩MK表面以及區劃出蝕刻所形成之開口的側壁面形成有包含氟碳及/或碳之沉積物DP。此工序ST5的處理時間會以在該工序ST5結束時,於第2區域R2上以既定膜厚來殘留第1區域R1的方式來加以設定。
另外,工序ST5之處理條件亦可與工序ST4之處理條件為相同的條件。或著,工序ST5亦可以較短的時間來進行第1區域R1的蝕刻的方式來以下方所示的處理條件加以實行。
●處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
●處理氣體
C4F8氣體:10sccm~30sccm
CF4氣體:50sccm~150sccm
Ar氣體:500sccm~1000sccm
O2氣體:10sccm~30sccm
●電漿生成用之高頻電力:500W~2000W
●高頻偏壓電力:500W~2000W
接著,方法MT2會實行一次以上的機制SQ。機制SQ會在包含露出第2區域R2時的期間中為了蝕刻第1區域R1而加以實行。機制SQ係含有工序ST11及工序ST13。一實施形態中,機制SQ可進一步地含有工序ST12。
工序ST11會收納有在圖12所示之晶圓W的處理容器12內,生成處理氣體之電漿。因此,工序ST11會從氣體源群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。此處理氣體係含有氟碳氣體。又,此處理氣體係可進一步地含有稀有氣體,例如Ar氣體。又,工序ST11會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST11會將來自第1高頻電源62之高頻供給至下部電極LE。另外,將不將來自第2高頻電源64之高頻偏壓供給至下部電極LE都可以。此工序ST11會生成含有氟碳氣體之處理氣體的電漿,使得解離之氟碳會沉積於晶圓W表面上,而如圖13所示形成沉積物DP。
此工序ST11會選擇與工序ST5之處理條件及工序ST4之處理條件相異,且相較於第1蝕刻區域R1之蝕刻,於晶圓W上形成沉積物DP會成為優位的模式,亦即成為沉積模式的處理條件。一範例中,會使用C4F6氣體來作為工序ST11之處理氣體中的氟碳氣體。
於下例示工序ST11中之各種處理條件。
●處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
●處理氣體
C4F6氣體:2sccm~10sccm
Ar氣體:500sccm~1500sccm
●電漿生成用之高頻電力:100W~500W
●高頻偏壓電力:0W
一實施形態中,接著會實行工序ST12。工序ST12會在處理容器12內生成含有含氧氣體及非活性氣體之處理氣體的電漿。因此,工序ST12會從氣體源群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。在一範例中,此處理氣體係含有氧氣來作為含氧氣體。又,在一範 例中,此處理氣體係包含所謂Ar氣體之稀有氣體來作為非活性氣體。非活性氣體亦可為氮氣。又,工序ST12會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST12會將來自第1高頻電源62之高頻供給至下部電極LE。工序ST12亦可不將來自第2高頻電源64之高頻偏壓供給至下部電極LE。
工序ST12會生成氧活性基,並藉由該氧活性基來將晶圓W上之沉積物DP的量如圖14所示般適量地減少。其結果,便可防止遮罩MK所形成之開口以及蝕刻所形成的開口會因過多的沉積物DP而被阻塞。又,由於工序ST12所使用之處理氣體中,氧氣會因非活性氣體而被稀釋,故可抑制沉積物DP被去除過多。
於下例示工序ST12中之各種處理條件。
●處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
●處理氣體
O2氣體:2sccm~20sccm
Ar氣體:500sccm~1500sccm
●電漿生成用之高頻電力:100W~500W
●高頻偏壓電力:0W
一實施形態中,各機制的工序ST12,亦即一次地工序ST12會實行2秒以上,並且工序ST12中沉積物DP可以1nm/秒以下的速率來被蝕刻。在使用電漿處理裝置10般之電漿處理裝置來實行上述機制時,用以在工序ST11、工序ST12以及工序ST13之各工序間遷移的氣體切換係需要時間。從而,在考量穩定放電所需要之時間時,工序ST12會需要實行2秒以上。但是,在如此般時間長度期間中沉積物DP的蝕刻速率過高時,用以保護第2區域R2的沉積物DP會被去除過多。因此,工序ST12中會以1nm/秒以下的速率來蝕刻沉積物DP。藉此,便可適當地調整晶圓W上所形成之沉積物DP的量。另外,工序ST12中之沉積物DP的1nm/秒以下的蝕刻速率可藉由從上述條件來選擇處理容器內之壓力、處理氣體中藉由稀有氣體來稀釋氧的程度,亦即氧氣濃度以及電漿生成用之高頻電力而加以達成。
接著的工序ST13會蝕刻第1區域R1。此工序ST13會進行促進沉積物DP中之氟碳與第1區域R1的氧化矽之反應的處理。因此,工序ST13會從氣體源 群GSG的複數氣體源中所選擇的氣體源來將處理氣體供給至處理容器12內。此處理氣體係含有非活性氣體。非活性氣體在一範例中,亦可為所謂Ar氣體之稀有氣體。或著,非活性氣體亦可為氮氣。又,工序ST13會作動排氣裝置50,來將處理容器12內之壓力設定為既定壓力。進一步地,工序ST13會將來自第1高頻電源62之高頻供給至下部電極LE。又,工序ST13會將來自第2高頻電源64之高頻偏壓供給至下部電極LE。
於下例示工序ST13中之各種處理條件。
●處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
●處理氣體
Ar氣體:500sccm~1500sccm
●電漿生成用之高頻電力:100W~500W
●高頻偏壓電力:20W~300W
工序ST13會生成非活性氣體之電漿,並相對於晶圓W來吸引離子。藉此,便會促進沉積物DP中所包含之氟碳自由基與第1區域R1之氧化矽的反應,以蝕刻第1區域R1。藉由實行此工序ST13,便會如圖15所示,蝕刻第2區域R2所提供之凹部內的第1區域R1。
此機制SQ之實行次數亦可為1次。在此情況,後述工序STJ的判斷便會為不要,而在實行機制SQ後,實行工序ST4。
一實施形態中會反覆實行機制SQ。亦即,會實行複數次機制SQ。在此實施形態下,工序STJ中便會判斷是否滿足停止條件。停止條件係判斷機制SQ滿足於實行既定次數的情況。工序STJ中,在判斷為未滿足停止條件的情況,便再次從工序ST11來實行機制SQ。另一方面,工序STJ中,在判斷滿足停止條件的情況,便接著實行工序ST4。方法MT2之工序ST4係與方法MT之工序ST4相同的工序。在結束實行此工序ST4時,如圖10所示,晶圓W便會成為將第1區域R1蝕刻至第2區域R2所形成的凹部底面之狀態。
根據此方法MT2,來在第2區域R2露出時實行機制SQ,便會以沉積物DP來更確實地保護該第2區域R2。在之後藉由沉積物DP中之自由基來蝕刻第1區域R1。從而,便可進一步地抑制第2區域R2之侵蝕。
以上,雖已就各種實施形態來加以說明,但並不限於上述實施形態而可構成各種變形態樣。例如,適用上述實施形態相關之方法的被處理體並 不限於圖2所示之被處理體。例如,上述實施形態相關之方法可適用於具有由氧化矽所構成之第1區域與由氮化矽所構成之第2區域的任意被處理體。
又,上述實施形態相關之方法可使用電容耦合型電漿處理裝置以外的任意電漿處理裝置來加以實施。例如,上述實施形態相關之方法亦可使用感應耦合型電漿處理裝置,或是藉由所謂微波之表面波來生成電漿的電漿處理裝置來加以實施。
又,方法MT2亦可不實行工序ST5,而接續於工序ST3來實行機制SQ。又,機制SQ亦可不含有工序ST12。另一方面,在機制SQ含有工序ST12的情況,該機制SQ中之工序ST12的實行順序可任意調整。例如,在機制SQ中,工序ST12亦可在實行工序ST13後再加以實行。
以下,便就為了評價工序ST4而進行之實驗例1~3來加以說明。實驗例1~3會準備有將其一部分的擴大剖面圖表示於圖16之(a)的晶圓WE。晶圓WE係具有設置於基板SB上之隆起區域RA、覆蓋該隆起區域RA的氮化矽製之第2區域R2以及填埋第2區域R2所形成之凹部,並且以覆蓋第2區域R2的方式來設置之氧化矽製的第1區域R1。第2區域R2所形成之凹部係溝槽,其寬度係20nm,深度為150nm。又,比較實驗例1~2中亦準備有同樣的晶圓WE。
各實驗例1~3及比較實驗例1~2會使用電漿處理裝置10並以於下所示之處理條件來蝕刻第1區域R1。
<實驗例1之處理條件>
處理容器內壓力:30mTorr(4Pa)
C4F6氣體流量:4sccm
Ar氣體流量:1000sccm
O2氣體流量:5sccm
Ar氣體的流量相對於C4F6氣體的流量:250倍
第1高頻電源62之高頻:40MHz、500W
第2高頻電源64之高頻偏壓:13MHz、50W
下部電極LE之自偏壓電位:350V
電源70之負直流電壓:-300V
處理時間:10分鐘
<實驗例2之處理條件>
處理容器內壓力:30mTorr(4Pa)
C4F6氣體流量:0.8sccm
Ar氣體流量:1000sccm
O2氣體流量:0.8sccm
Ar氣體的流量相對於C4F6氣體的流量:1250倍
第1高頻電源62之高頻:40MHz、300W
第2高頻電源64之高頻偏壓:13MHz、0W
下部電極LE之自偏壓電位:150V
電源70之負直流電壓:-300V
處理時間:10分鐘
<實驗例3之處理條件>
處理容器內壓力:30mTorr(4Pa)
C4F6氣體流量:0.2sccm
Ar氣體流量:1000sccm
O2氣體流量:0.2sccm
Ar氣體的流量相對於C4F6氣體的流量:5000倍
第1高頻電源62之高頻:40MHz、120W
第2高頻電源64之高頻偏壓:13MHz、0W
下部電極LE之自偏壓電位:50V
電源70之負直流電壓:-300V
處理時間:10分鐘
<比較實驗例1之處理條件>
處理容器內壓力:30mTorr(4Pa)
C4F6氣體流量:8sccm
Ar氣體流量:1000sccm
O2氣體流量:10sccm
Ar氣體的流量相對於C4F6氣體的流量:125倍
第1高頻電源62之高頻:40MHz、500W
第2高頻電源64之高頻偏壓:13MHz、100W
下部電極LE之自偏壓電位:500V
電源70之負直流電壓:-300V
處理時間:10分鐘
<比較實驗例2之處理條件>
處理容器內壓力:30mTorr(4Pa)
C4F6氣體流量:7.6sccm
Ar氣體流量:1000sccm
O2氣體流量:10sccm
Ar氣體的流量相對於C4F6氣體的流量:131倍
第1高頻電源62之高頻:40MHz、300W
第2高頻電源64之高頻偏壓:13MHz、0W
下部電極LE之自偏壓電位:150V
電源70之負直流電壓:-300V
處理時間:10分鐘
實驗例1~3及比較實驗例1~2會取得處理後之晶圓WR的SEM照片,並求出隆起區域RA中央上的第2區域R2膜厚之減少量△T1(處理前後之第2隆起區域R2膜厚之差)、第2區域R2肩部的該第2區域R2膜厚之減少量△T2(處理前後之第2隆起區域R2膜厚之差)。其結果,實驗例1之△T1為2.4nm,實驗例1之△T2為6.3nm,實驗例2之△T1及△T2為0nm,實驗例3之△T1及△T2為0nm。又,比較實驗例1之△T1為14.7nm,比較實驗例1之△T2為23.9nm,比較實驗例2之△T1為11.1nm,比較實驗例2之△T2為17.6nm。在比較實驗例1,亦即以Ar氣體的流量相對於C4F6氣體的流量為125倍,且自偏壓電位為500V的處理條件來進行蝕刻的實驗例中,第2區域R2會被侵蝕較多,而使得第2區域R2之膜厚減少較多。在使用較比較實驗例1之自偏壓電位要低之自偏壓電位的比較實驗例2中,亦產生有超過10nm的第2區域R2膜厚之減少。這是因為比較實驗例2中,Ar氣體的流量相對於C4F6氣體的流量為131倍,而小於250倍之故。另一方面,在實驗例1~3中,第2區域R2膜厚之減少量便變得非常小。從而,便確認到方法MT及方法MT2係可藉由實行工序ST4來抑制第2區域R2之侵蝕,並蝕刻第1區域R1。
ST1‧‧‧在處理容器內準備被處理體
ST2‧‧‧蝕刻反射防止膜
ST3‧‧‧蝕刻有機膜
ST4‧‧‧生成處理氣體之電漿(蝕刻第1區域)

Claims (10)

  1. 一種蝕刻方法,係相對於由氮化矽所構成之第2區域而選擇性地蝕刻由氧化矽所構成之第1區域的方法,包含:在電漿處理裝置之處理容器內準備具有該第1區域及該第2區域之被處理體的工序;以及在該處理容器內生成含有氟碳氣體及稀有氣體之處理氣體的電漿之工序;在生成處理氣體的電漿之該工序中,於其上載置有該被處理體之下部電極之自偏壓電位為4V以上,350V以下;該處理氣體中之該稀有氣體流量相對於該處理氣體中之該氟碳氣體的流量係250倍以上,5000倍以下。
  2. 如申請專利範圍第1項之蝕刻方法,其中生成處理氣體的電漿之該工序為了將該處理氣體供給至該處理容器內,係從第1氣體源來將含有氟碳氣體及稀有氣體之第1氣體供給至該處理容器內,並且從第2氣體源來僅供給稀有氣體或以與該第1氣體相異之體積比來供給含有氟碳氣體及稀有氣體的第2氣體至該處理容器內。
  3. 如申請專利範圍第1項之蝕刻方法,其中生成處理氣體的電漿之該工序為了將該處理氣體供給至該處理容器內,係從單一氣體源來將含有氟碳氣體及稀有氣體之混合氣體供給至該處理容器內。
  4. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中該稀有氣體係氬氣。
  5. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中該處理氣體係進一步地含有氧氣。
  6. 如申請專利範圍第4項之蝕刻方法,其中該處理氣體係進一步地含有氧氣。
  7. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中該第2區域係形成凹部,該第1區域係以填埋該凹部,且覆蓋該第2區域的方式來加以設置;進一步地含有:在包含露出該第2區域時的期間,為了蝕刻該第1區域而實行一次以上的機制之工序; 該一次以上的機制係含有:在該處理容器內生成含有氟碳氣體之處理氣體的電漿,且於該第1區域及該第2區域上形成含有氟碳之沉積物的工序;以及在該處理容器內生成非活性氣體之電漿的工序。
  8. 如申請專利範圍第4項之蝕刻方法,其中該第2區域係形成凹部,該第1區域係以填埋該凹部,且覆蓋該第2區域的方式來加以設置;進一步地含有:在包含露出該第2區域時的期間,為了蝕刻該第1區域而實行一次以上的機制之工序;該一次以上的機制係含有:在該處理容器內生成含有氟碳氣體之處理氣體的電漿,且於該第1區域及該第2區域上形成含有氟碳之沉積物的工序;以及在該處理容器內生成非活性氣體之電漿的工序。
  9. 如申請專利範圍第5項之蝕刻方法,其中該第2區域係形成凹部,該第1區域係以填埋該凹部,且覆蓋該第2區域的方式來加以設置;進一步地含有:在包含露出該第2區域時的期間,為了蝕刻該第1區域而實行一次以上的機制之工序;該一次以上的機制係含有:在該處理容器內生成含有氟碳氣體之處理氣體的電漿,且於該第1區域及該第2區域上形成含有氟碳之沉積物的工序;以及在該處理容器內生成非活性氣體之電漿的工序。
  10. 如申請專利範圍第6項之蝕刻方法,其中該第2區域係形成凹部,該第1區域係以填埋該凹部,且覆蓋該第2區域的方式來加以設置;進一步地含有:在包含露出該第2區域時的期間,為了蝕刻該第1區域而實行一次以上的機制之工序;該一次以上的機制係含有:在該處理容器內生成含有氟碳氣體之處理氣體的電漿,且於該第1區域及該第2區域上形成含有氟碳之沉積物的工序;以及在該處理容器內生成非活性氣體之電漿的工序。
TW105121162A 2015-07-07 2016-07-05 蝕刻方法 TWI694531B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015135905A JP6578145B2 (ja) 2015-07-07 2015-07-07 エッチング方法
JP2015-135905 2015-07-07

Publications (2)

Publication Number Publication Date
TW201717300A TW201717300A (zh) 2017-05-16
TWI694531B true TWI694531B (zh) 2020-05-21

Family

ID=57731413

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105121162A TWI694531B (zh) 2015-07-07 2016-07-05 蝕刻方法

Country Status (4)

Country Link
US (2) US10541147B2 (zh)
JP (1) JP6578145B2 (zh)
KR (1) KR102589406B1 (zh)
TW (1) TWI694531B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP6861535B2 (ja) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US11613068B2 (en) 2017-09-13 2023-03-28 Lg Chem, Ltd. Preparation method of patterned substrate
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
JP7433095B2 (ja) 2020-03-18 2024-02-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1079375A (ja) * 1996-09-04 1998-03-24 Kagaku Gijutsu Shinko Jigyodan プラズマエッチング方法及び装置
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US20070205414A1 (en) * 2004-06-16 2007-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved low power SRAM contact
US20070227665A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20090108463A1 (en) * 2007-10-24 2009-04-30 Fujitsu Microelectronics Limited Method of manufacturing semiconductor device and semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6260452A (ja) * 1985-09-11 1987-03-17 Canon Inc プ−リ−付電動機
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
JP2010098101A (ja) * 2008-10-16 2010-04-30 Nec Electronics Corp 半導体装置の製造方法
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
JP6034655B2 (ja) * 2012-10-25 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1079375A (ja) * 1996-09-04 1998-03-24 Kagaku Gijutsu Shinko Jigyodan プラズマエッチング方法及び装置
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US20070205414A1 (en) * 2004-06-16 2007-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved low power SRAM contact
US20070227665A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20090108463A1 (en) * 2007-10-24 2009-04-30 Fujitsu Microelectronics Limited Method of manufacturing semiconductor device and semiconductor device

Also Published As

Publication number Publication date
US20200111679A1 (en) 2020-04-09
KR102589406B1 (ko) 2023-10-13
US20170011939A1 (en) 2017-01-12
US10541147B2 (en) 2020-01-21
JP2017022154A (ja) 2017-01-26
JP6578145B2 (ja) 2019-09-18
KR20170006278A (ko) 2017-01-17
TW201717300A (zh) 2017-05-16

Similar Documents

Publication Publication Date Title
CN109219867B (zh) 蚀刻方法
TWI694531B (zh) 蝕刻方法
CN106206286B (zh) 蚀刻方法
TWI684218B (zh) 蝕刻方法(三)
TWI697046B (zh) 蝕刻方法
TWI716378B (zh) 蝕刻方法
TWI713109B (zh) 蝕刻方法(一)
TWI766866B (zh) 蝕刻方法
TWI722187B (zh) 蝕刻方法
TWI692809B (zh) 蝕刻方法
TWI743123B (zh) 電漿處理方法
TWI713486B (zh) 蝕刻方法(二)
CN105810581A (zh) 蚀刻方法
CN105810579A (zh) 蚀刻方法