TWI692031B - 蝕刻方法 - Google Patents

蝕刻方法 Download PDF

Info

Publication number
TWI692031B
TWI692031B TW108110226A TW108110226A TWI692031B TW I692031 B TWI692031 B TW I692031B TW 108110226 A TW108110226 A TW 108110226A TW 108110226 A TW108110226 A TW 108110226A TW I692031 B TWI692031 B TW I692031B
Authority
TW
Taiwan
Prior art keywords
region
gas
etching
plasma
etching method
Prior art date
Application number
TW108110226A
Other languages
English (en)
Other versions
TW201929090A (zh
Inventor
戶村幕樹
本田昌伸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201929090A publication Critical patent/TW201929090A/zh
Application granted granted Critical
Publication of TWI692031B publication Critical patent/TWI692031B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明之課題係提供一種蝕刻方法,使得由氧化矽所構成之第1區域相對於由氮化矽所構成之第2區域受到選擇性蝕刻。
一實施形態之方法包含:(a)第1製程,係將具有第1區域以及第2區域之被處理體暴露於含氟碳氣體之處理氣體之電漿中,蝕刻第1區域,且於第1區域以及第2區域上形成含氟碳之沉積物;(b)第2製程,係藉由沉積物所含氟碳之自由基來蝕刻第1區域。此方法之第1製程中,電漿係以脈衝狀之高頻電力所生成。此外,第1製程與第2製程係交互地反覆進行。

Description

蝕刻方法
本發明之實施形態係關於一種蝕刻方法。
電子元件之製造中,有時所進行的處理是對於絕緣層之矽氧化膜以蝕刻來形成孔洞、槽渠等。矽氧化膜之蝕刻中,如美國專利第7708859號說明書所記載般,一般係使得被處理體暴露於氟碳氣體之電漿中來蝕刻矽氧化膜。
使用氟碳氣體電漿的蝕刻中,係藉由氟活性種來蝕刻矽氧化膜。此外,該蝕刻中,氟碳附著於矽氧化膜而形成沉積物。
先前技術文獻
專利文獻1 美國專利第7708859號說明書
上述矽氧化膜之蝕刻,沉積物之膜厚逐漸增加。一旦沉積物之膜厚變大,則可蝕刻矽氧化膜之活性種到達矽氧化膜之事會受到阻礙。從而,矽氧化膜之蝕刻於中途將無法再進行。結果,矽氧化膜之蝕刻速率會降低。
另一方面,有些被處理體具有由氧化矽所構成之第1區域與由氮化矽所構成之第2區域。有時會要求如此之被處理體之第1區域相對於第2區域做選擇性蝕刻。依據上述氟碳氣體之電漿,由於沉積物相較於第1區域上係於第2區域上厚厚地形成,故相較於第2區域之蝕刻速率可提高第1區域之蝕刻速率。
但是,於蝕刻開始時在第2區域上並未形成沉積物。從而,於蝕刻開始時,第2區域受到蝕刻。
基於如此之狀況,可抑制由氧化矽所構成之第1區域之蝕刻速率的降低、且提高第1區域相對於由氮化矽所構成之第2區域的蝕刻選擇性乃成為必要。
於一態樣中係提供一種方法,係使得由氧化矽所構成之第1區域對由氮化矽所構成之第2區域進行選擇性蝕刻。此方法包含:(a)第1製程,係將具有第1區域以及第2區域之被處理體暴露於含氟碳氣體之處理氣體之電漿中,蝕刻第1區域,且於第1區域以及第2區域上形成含氟碳之沉積物;(b)第2製程,係藉由沉積物所含氟碳之自由基來蝕刻第1區域。此方法之第1製程中,電漿係以脈衝狀之高頻電力所生成。此外,第1製程與第2製程係交互地反覆進行。
此方法中,因著沉積物所含氟碳自由基使得第1區域受到蝕刻,而抑制該第1區域之蝕刻的停止。從而,可抑制第1區域之蝕刻速率的降低。此外,第1製程中,電漿係以脈衝狀之高頻電力來生成。藉由如此之高頻電力所生成之電漿,於脈衝之關閉(off)時間中自由基通量會比離子通量來得緩慢衰減。從而,因存在有僅照射自由基之時間而使得離子通量之比率變低。亦即,自由基會比離子來得多量地生成。未被沉積物所覆蓋之狀態的第2區域受到蝕刻一事被抑制。從而,依據此方法,可抑制第1區域之蝕刻速率的降低,且可提高第1區域相對於第2區域之蝕刻選擇性。
依據一實施形態之第1製程,為了將離子拉引至被處理體也可供給脈衝狀之高頻偏壓電力。於一實施形態之第1製程,高頻偏壓電力與高頻電力也可同步。
此外,依據一實施形態之第2製程,可藉由連續供給之高頻電力來生成稀有氣體之電漿。
如以上說明般,可抑制由氧化矽所構成之第1區域之蝕刻速率的降低,且可提高第1區域相對於由氮化矽所構成之第2區域之蝕刻選擇性。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
PD‧‧‧載置台
ESC‧‧‧靜電夾頭
LE‧‧‧下部電極
30‧‧‧上部電極
40‧‧‧氣體源群
50‧‧‧排氣裝置
62‧‧‧第1高頻電源
64‧‧‧第2高頻電源
70‧‧‧電源
W,W2‧‧‧晶圓
DP‧‧‧沉積物
R1‧‧‧第1區域
R2‧‧‧第2區域
HF‧‧‧高頻電力
LF‧‧‧高頻偏壓電力
W‧‧‧晶圓
W2‧‧‧晶圓
R1‧‧‧第1區域
R2‧‧‧第2區域
DP‧‧‧沉積物
圖1係顯示一實施形態之蝕刻方法之流程圖。
圖2係顯示一實施形態之電漿處理裝置之圖。
圖3係顯示圖1所示方法之各製程前後的被處理體狀態之截面圖。
圖4係顯示製程ST1中之高頻電力之波形以及高頻偏壓電力之波形之圖。
圖5係顯示圖1所示方法之處理對象之其他一例之截面圖。
圖6係顯示實驗結果之圖。
圖7係顯示實驗結果之圖。
以下,參見圖式針對各種實施形態來詳細說明。此外,各圖式中對於相同或是對應部分係賦予同一符號。
圖1係顯示一實施形態之蝕刻方法之流程圖。圖1所示方法MT之處理對象也就是被處理體(以下也稱為「晶圓」)為具有由氧化矽所構成之第1區域以及由氮化矽所構成之第2區域的晶圓。圖1所示方法MT係對於如此之晶圓W交互適用製程ST1以及製程ST2。藉此,方法MT係使得第1區域相對於第2區域受到選擇性蝕刻。
以下,在詳細說明圖1所示方法MT之前,先針對該方法MT之實施上所能使用之電漿處理裝置來說明。圖2係顯示一實施形態之電漿處理裝置之圖。圖2所示電漿處理裝置10為電容耦合型電漿蝕刻裝置,具備有大致圓筒狀之處理容器12。處理容器12之內壁面係例如由經過陽極氧化處理過的鋁所構成。此處理容器12受到安全接地。
於處理容器12之底部上設有大致圓筒狀之支撐部14。支撐部14係例如由絕緣材料所構成。支撐部14在處理容器12內係從處理容器12之底部往鉛直方向延伸。此外,處理容器12內設有載置台PD。載置台PD被支撐部14所支撐著。
載置台PD於其上面保持晶圓W。載置台PD具有下部電極LE以及靜電夾頭ESC。下部電極LE包含第1板18a以及第2板18b。第1板18a以及第2板18b 係由例如鋁等金屬所構成,呈現大致圓盤形狀。第2板18b設置於第1板18a上,而電性連接於第1板18a。
於第2板18b上設有靜電夾頭ESC。靜電夾頭ESC具有將屬於導電膜之電極配置在一對的絕緣層或是絕緣片間的構造。直流電源22經由開關23而電性連接於靜電夾頭ESC之電極處。此靜電夾頭ESC係藉由來自直流電源22之直流電壓所生的庫倫力等靜電力而吸附晶圓W。藉此,靜電夾頭ESC可保持晶圓W。
於第2板18b之周緣部上係以包圍晶圓W之邊緣以及靜電夾頭ESC的方式配置有聚焦環FR。聚焦環FR係用以提高蝕刻均一性而設置者。聚焦環FR係依據蝕刻對象之膜材料來適宜選擇之材料所構成,例如可由石英構成。
於第2板18b之內部設有冷媒流路24。冷媒流路24係構成調溫機構。冷媒流路24係從設置於處理容器12外部的冷凝器單元經由配管26a而被供給冷媒。供給於冷媒流路24之冷媒係經由配管26b回到冷凝器單元。如此般,冷媒流路24係被循環供給冷媒。藉由控制此冷媒之溫度,可對於被靜電夾頭ESC所支撐之晶圓W的溫度進行控制。
此外,於電漿處理裝置10設有氣體供給管線28。氣體供給管線28係將來自傳熱氣體供給機構的傳熱氣體(例如He氣體)供給於靜電夾頭ESC上面與晶圓W內面之間。
此外,電漿處理裝置10具備有上部電極30。上部電極30係於載置台PD上方和該載置台PD成為對向配置。下部電極LE與上部電極30彼此大致平行設置。於此等上部電極30與下部電極LE之間提供了用以對晶圓W進行電漿處理之處理空間S。
上部電極30係經由絕緣性遮蔽構件32而被支撐於處理容器12之上部。於一實施形態,上部電極30係以在載置台PD之上面、亦即相對於晶圓載置面在鉛直方向上的距離為可變的方式所構成。上部電極30可包含電極板34以及電極支撐體36。電極板34面向於處理空間S,於該電極板34設有複數氣體噴出孔34a。此電極板34在一實施形態中係由矽所構成。
電極支撐體36係將電極板34加以裝卸自如地支撐者,可由例如鋁等導電性材料所構成。此電極支撐體36可具有水冷構造。於電極支撐體36之內 部設有氣體擴散室36a。從此氣體擴散室36a有連通於氣體噴出孔34a之複數氣體通流孔36b往下方延伸。此外,於電極支撐體36形成有將處理氣體引導至氣體擴散室36a之氣體導入口36c,於此氣體導入口36c連接著氣體供給管38。
氣體供給管38經由閥群42以及流量控制器群44而連接著氣體源群40。氣體源群40包含有氟碳氣體源、稀有氣體源、以及氧(O2)氣體源等複數氣體源。氟碳氣體為例如包含C4F6氣體以及C4F8氣體當中至少一種的氣體。此外,稀有氣體包含Ar氣體、He氣體等各種稀有氣體當中至少一種之氣體。
閥群42包含複數閥,流量控制器群44包含質流控制器等複數流量控制器。氣體源群40之複數氣體源分別經由閥群42之對應的閥以及流量控制器群44之對應的流量控制器而連接於氣體供給管38。
此外,電漿處理裝置10係沿著處理容器12之內壁裝卸自如地設置有沉積物屏蔽件46。沉積物屏蔽件46也設置於支撐部14之外周。沉積物屏蔽件46用以防止蝕刻副產物(沉積物)附著於處理容器12,可由鋁材上被覆著Y2O3等陶瓷而構成。
於處理容器12之底部側且於支撐部14與處理容器12之側壁之間設有排氣板48。排氣板48例如可由鋁材被覆著Y2O3等陶瓷而構成。於此排氣板48之下方且於處理容器12設有排氣口12e。排氣口12e經由排氣管52連接著排氣裝置50。排氣裝置50具有渦輪分子泵等真空泵,可將處理容器12內之空間減壓至所希望之真空度。此外,於處理容器12之側壁設有晶圓W之搬出入口12g,此搬出入口12g可藉由閘閥54作開閉。
此外,電漿處理裝置10更具備有第1高頻電源62以及第2高頻電源64。第1高頻電源62為產生電漿生成用高頻電力之電源,產生27~100MHz之頻率(一例中產生40MHz)之高頻電力。第1高頻電源62經由匹配器66連接於下部電極LE。匹配器66係用以將第1高頻電源62之輸出阻抗與負荷側(下部電極LE側)之輸入阻抗取得匹配之電路。
第1高頻電源62可將高頻電力連續供給於下部電極LE。此外,第1高頻電源62可將高頻電力以脈衝狀供給於下部電極LE。亦即,第1高頻電源62可將第1等級的高頻電力與較該第1等級來得小的第2等級的高頻電力交互 地供給於下部電極LE。例如,所說第2等級的高頻電力可為大小為「0」的高頻電力。於此情況,第1高頻電源62可讓高頻電力之ON與OFF交互地切換來供給。交互切換第1等級與第2等級之頻率可為2kHz~40kHz。此外,在包含高頻電力採第1等級之期間與採第2等級之期間的1周期內,高頻電力採第1等級之期間所佔比例(亦即工作比)可為20%以上、80%以下。
第2高頻電源64為產生可將離子拉引至晶圓W的高頻偏壓電力之電源,係產生400kHz~13.56MHz範圍內之頻率(一例中為3MHz)的高頻偏壓電力。第2高頻電源64經由匹配器68連接於下部電極LE。匹配器68係用以將第2高頻電源64之輸出阻抗與負荷側(下部電極LE側)之輸入阻抗取得匹配之電路。
第2高頻電源64可將高頻偏壓電力連續地供給至下部電極LE。此外,第2高頻電源64可將高頻偏壓電力脈衝狀地供給於下部電極LE。亦即,第2高頻電源64可將第1等級的高頻偏壓電力與較該第1等級來得小之第2等級的高頻偏壓電力交互地供給於下部電極LE。例如,第2等級之高頻偏壓電力可為大小為「0」的高頻偏壓電力。於此情況,第2高頻電源64可將高頻偏壓電力之ON與OFF交互地切換來供給。此外,第1等級與第2等級交互切換之頻率可為2kHz~40kHz,在包含高頻電力採第1等級之期間與採第2等級之期間的1周期內,高頻電力採第1等級之期間所佔比例(亦即工作比)可為20%以上、80%以下。此外,高頻偏壓電力之第1等級可和高頻電力之第1等級為不同級數。
此外,電漿處理裝置10更具備有電源70。電源70連接於上部電極30。電源70係將存在於處理空間S內之正離子拉引至電極板34用的電壓施加於上部電極30。一例中,電源70係產生負直流電壓的直流電源。於其他例中,電源70也可為產生相對低頻之交流電壓的交流電源。從電源70對上部電極所施加的電壓可為-150V以下之電壓。亦即,藉由電源70對上部電極30所施加之電壓可為絕對值為150以上之負電壓。若如此之電壓從電源70施加於上部電極30,則存在於處理空間S之正離子會衝撞於電極板34。藉此,從電極板34釋放二次電子以及/或是矽。所釋放之矽會和存在於處理空間S內之氟活性種做結合而可降低氟活性種之量。
此外,於一實施形態中,電漿處理裝置10可進而具備控制部Cnt。此控制部Cnt為具備處理器、記憶部、輸入裝置、顯示裝置等之電腦,用來控制電漿處理裝置10之各部。此控制部Cnt可使用輸入裝置由操作者為了管理電漿處理裝置10而進行指令輸入操作等,此外,可藉由顯示裝置將電漿處理裝置10之運轉狀況加以視覺化顯示。再者,於控制部Cnt之記憶部儲存著用以將在電漿處理裝置10所實行之各種處理以處理器進行控制之控制程式、用以因應於處理條件在電漿處理裝置10之各部實行處理之程式(亦即處理配方)。
以下,再次參見圖1,針對方法MT來詳細說明。此外,以下之說明中,除了圖1也一併參見圖3以及圖4。圖3係顯示圖1所示方法之各製程前後的被處理體狀態之截面圖。圖4係顯示製程ST1中之高頻電力之波形以及高頻偏壓電力之波形之圖。
圖1所示方法MT可適用於圖3(a)所示一例之晶圓W。圖3(a)所示晶圓W包含基板SB、第1區域R1以及第2區域R2。第1區域R1以及第2區域R2設置於基板SB上。第1區域R1係由氧化矽所構成,第2區域R2係由氮化矽所構成。第1區域R1之表面以及第2區域R2之表面係於方法MT之適用開始前或是方法MT之實施中途同時露出。
方法MT中,首先實行製程ST1(第1製程)。製程ST1係使得晶圓W暴露於含有氟碳氣體之處理氣體電漿中。氟碳氣體為例如含有C4F6氣體以及C4F8氣體當中至少一種。此外,此處理氣體可含有Ar氣體、He氣體之各種稀有氣體當中至少一種的稀有氣體。再者,此處理氣體可含有氧(O2)氣體。處理氣體係藉由因著被供給電漿生成用高頻電力HF所產生之高頻電場而被激發。製程ST1中,處理氣體受到激發會生成電漿,晶圓W暴露於所生成之電漿中。
如圖4所示般,於製程ST1中,為了生成處理氣體之電漿,以脈衝狀來供給高頻電力HF。圖4中,高頻電力HF採高等級之期間為該高頻電力HF採第1等級之期間。此外,高頻電力HF採低等級之期間為該高頻電力HF採第2等級之期間。製程ST1中,高頻電力HF之第1等級與第2等級交互切換之頻率可為2kHz~40kHz,工作比可為20%以上、80%以下。若工作比成為20%以上,則可維持電漿。此外,若工作比為80%以下、且若為更小的比,可更 有效地抑制第2區域R2之蝕刻。
一實施形態之製程ST1中,用以將電漿中之離子拉引至晶圓W之高頻偏壓電力LF可在該製程ST1之實行期間中被連續地供給。於其他實施形態之製程ST1中,高頻偏壓電力LF也可以脈衝狀來供給。圖4中,高頻偏壓電力LF採高等級之期間為該高頻偏壓電力LF採第1等級之期間。此外,高頻偏壓電力LF採低等級之期間為該高頻偏壓電力LF採第2等級之期間。製程ST1中,高頻偏壓電力LF之第1等級與第2等級交互切換的頻率可為2kHz~40kHz,工作比可為20%以上、80%以下。此外,製程ST1中高頻偏壓電力LF可和高頻電力HF同步。或是,製程ST1中高頻偏壓電力LF也可和高頻電力HF不同步。
使用電漿處理裝置10實施製程ST1之情況,處理氣體從氣體源群40供給於處理容器12內。此外,製程ST1中,高頻電力從第1高頻電源62以脈衝狀供給於下部電極LE。此外,製程ST1中,來自第2高頻電源64之高頻偏壓電力能以連續性或是脈衝狀供給於下部電極LE。此外,製程ST1中,以排氣裝置50將處理容器12內之空間壓力設定於既定壓力。例如,處理容器12內之空間壓力被設定為20mTorr(2.666Pa)~50mTorr(6.666Pa)之範圍內的壓力。藉此,於處理容器12內生成處理氣體電漿,載置於載置台PD上的晶圓W暴露於該電漿中。製程ST1中,也可對上部電極30施加來自電源70之負直流電壓。藉由電源70施加於上部電極30之電壓可為-150V以下之電壓。亦即,藉由電源70施加於上部電極30之電壓可為絕對值150V以上之負電壓。此製程ST1之實行時之電漿處理裝置10之各部動作可藉由控制部Cnt做控制。
製程ST1中,初期係源自氟碳之原子以及/或是分子的活性種(例如氟以及/或是氟碳之活性種)衝撞於圖3(a)所示狀態之晶圓W的第1區域R1。藉此,製程ST1中,第1區域R1受到蝕刻。此外,製程ST1中,含氟碳之沉積物附著於第1區域R1。藉此,如圖3(b)所示般,含氟碳之沉積物DP形成於第1區域R1上。此沉積物DP的膜厚隨著製程ST1之實行時間的經過而增加。
此外,製程ST1中,初期源自氟碳之原子以及/或是分子之活性種(例如氟以及/或是氟碳之活性種)也會衝撞於圖3(a)所示狀態之晶圓W的第2區域R2處。一旦相關活性種衝撞於第2區域R2,如圖3(b)所示般,氟碳沉積物經變質之含碳比率高的保護膜TR會形成於第2區域R2之表面。保護膜TR含有 構成第2區域R2之矽以及氮、處理氣體所含原子以及/或是分子。例如,保護膜TR除了矽以及氮以外也可含有處理氣體所含碳、氟以及氧。此外,製程ST1中,如圖3(b)所示般,於保護膜TR上形成沉積物DP。
此外,於製程ST1中來自電源70之電壓施加於上部電極30之情況,存在於處理空間S之正離子會衝撞電極板34。藉此,從電極板34釋放矽。所釋放之矽會和存在於處理空間S內之氟活性種(例如氟自由基以及氟離子)結合而降低氟活性種的量。藉此,碳含有比率高的保護膜TR之形成速度增加,抑制氟活性種所致第2區域R2之蝕刻。另一方面,第1區域R1因著電漿中氟碳活性種而被蝕刻,此外,於該第1區域R1上因著氟碳活性種而形成氟碳的沉積物DP。
此外,製程ST1中,如上述般,高頻電力HF以脈衝狀被供給。當高頻電力HF以脈衝狀被供給之情況,於脈衝的關閉時間中,自由基通量會比離子通量來得緩慢衰減。從而,因存在僅照射自由基之時間而使得離子通量之比率變低。亦即,自由基之生成比離子來得多。藉此,抑制未覆蓋沉積物DP之狀態之第2區域R2受到蝕刻。
一旦於第1區域R1上所形成之沉積物DP膜厚因著如此製程ST1之處理而變大,則可蝕刻第1區域R1之活性種到達第1區域R1會被該沉積物DP所阻礙。從而,若連續進行製程ST1,則第1區域R1之蝕刻會停止。為了防止如此之蝕刻停止,在方法MT中接著實行製程ST2(第2製程)。
製程ST2中,第1區域R1因著沉積物DP所含氟碳自由基而被蝕刻。於一實施形態之製程ST2中,製程ST1之處理後的晶圓W係暴露於稀有氣體之電漿中。製程ST2中,如圖4所示般,高頻電力HF以及高頻偏壓電力雙方在該製程ST2之實行期間中被連續供給。此製程ST2之處理時間與製程ST1之處理時間可任意設定。一實施形態中,製程ST1之處理時間與製程ST2之處理時間的合計中之製程ST1之處理時間所佔比例可設定為30%~70%之範圍內的比例。
使用電漿處理裝置10實施製程ST2之情況,從氣體源群40供給稀有氣體。此外,製程ST2中,除稀有氣體以外也可供給氧氣體(O2氣體),或是,也可不供給氧氣體。此外,製程ST2中,來自第1高頻電源62之高頻電力係連續 供給於下部電極LE。此外,製程ST2中,來自第2高頻電源64之高頻偏壓電力可連續供給於下部電極LE。此外,製程ST2中,藉由排氣裝置50將處理容器12內之空間壓力設定為既定壓力。例如,處理容器12內之空間壓力係設定為20mTorr(2.666Pa)~50mTorr(6.666Pa)之範圍內的壓力。藉此,於處理容器12內生成稀有氣體之電漿,載置於載置台PD上之晶圓W暴露於該電漿中。此外,製程ST2中,也可對上部電極30施加來自電源70之負直流電壓。藉由電源70施加於上部電極30之電壓可為-150V以下之電壓。亦即,藉由電源70施加於上部電極30之電壓可為絕對值150V以上之負電壓。此製程ST2之實行時之電漿處理裝置10之各部動作可藉由控制部Cnt做控制。
製程ST2中,稀有氣體原子之活性種(例如稀有氣體原子之離子)會衝撞於沉積物DP。藉此,沉積物DP中之氟碳自由基可進行第1區域R1之蝕刻。此外,藉由此製程ST2來減少第1區域R1上之沉積物DP的膜厚。此外,製程ST2中也減少第2區域R2上之沉積物DP的膜厚。其中,由於在第2區域R2上存在著保護膜TR,故第2區域R2之蝕刻受到抑制。藉由如此製程ST2之實行,晶圓W成為圖3(c)所示狀態。
此外,當製程ST2中來自電源70之電壓施加於上部電極30之情況,存在於處理空間S之正離子會衝撞電極板34。藉此,從電極板34釋放矽。於製程ST2之實行期間中,製程ST1所生成之氟活性種可能未被去除而殘留於處理容器12內。從電極板34所釋放的矽會和存在於處理空間S內之氟活性種做結合而降低氟活性種的量。藉此,抑制氟活性種所致第2區域R2之蝕刻。另一方面,第1區域R1藉由沉積物DP中之氟碳自由基而受到蝕刻。
此外,當製程ST2中未供給氧氣體之情況,第1區域R1相對於第2區域R2可更受到選擇性蝕刻。
方法MT中,於製程ST2之實行後再次實行製程ST1。由於沉積物DP之膜厚因著先前製程ST2之實行而減少,故若再次實行製程ST1使得晶圓W暴露於上述處理氣體電漿中,則第1區域R1可進而受到蝕刻。之後,進而實行製程ST2可藉由沉積物DP中之氟碳自由基來蝕刻第1區域R1。
方法MT中,於製程ST3判定是否滿足停止條件。停止條件係例如當包含製程ST1以及製程ST2之循環的反覆次數達到既定次數時則判定滿足條件。 不滿足停止條件之情況,再次實行包含製程ST1以及製程ST2之循環。另一方面,當滿足停止條件之情況乃結束方法MT。
以上說明之方法MT中,藉由讓製程ST1以及製程ST2交互地實行複數次可防止第1區域R1之蝕刻停止。其結果,可繼續第1區域R1之蝕刻。再者,方法MT中,第1區域R1可相對於第2區域R2受到選擇性蝕刻。尤其,方法MT中,由於在製程ST1中以脈衝狀供給高頻電力HF,故未以沉積物DP覆蓋之狀態之第2區域R2受蝕刻一事受到抑制。從而,可提高第1區域R1之蝕刻相對於第2區域R2之蝕刻的選擇性。
圖5顯示圖1所示方法之處理對象的其他例之截面圖。圖5所示晶圓為具有第1區域R1以及第2區域R2之晶圓W2,對此晶圓W2也可適用方法MT。具體而言,晶圓W2具有底層100、複數隆起區域102、第1區域R1、第2區域R2以及遮罩108。此晶圓W2可為例如在鰭型場效電晶體之製造中所得之生產物。
底層100例如可由多晶矽所構成。底層在一例中為鰭區域,具有大致長方體形狀。複數隆起區域102設置於底層100上,彼此以大致平行方式配置排列著。此等隆起區域102可為例如閘區域。第2區域R2係由氮化矽所構成,以覆蓋隆起區域102的方式設置。此外,複數隆起區域102係填埋於第1區域R1內。亦即,第1區域R1係以經由第2區域R2覆蓋隆起區域102的方式來設置。此第1區域R1係由氧化矽所構成。第1區域R1上設有遮罩108。遮罩108於鄰接隆起區域102間的上方處具有開口圖案。此遮罩108係由有機膜所構成。此外,遮罩108可藉由光微影來製作。
若對此晶圓W2適用方法MT,則晶圓W2之第1區域R1可相對於第2區域R2受到選擇性蝕刻,可於鄰接隆起區域102之間的區域以自整合方式形成孔洞。尤其,雖伴隨蝕刻之進行而露出第2區域R2之際,於該第2區域R2上並未形成沉積物DP,但藉由於製程ST1中以脈衝狀供給高頻電力HF,可抑制未被沉積物DP所覆蓋之該第2區域R2之蝕刻。此外,可一邊防止第1區域R1之蝕刻停止、一邊進行該第1區域R1之蝕刻。以相關方法MT所形成之孔洞係通過鄰接隆起區域102之間的區域而延伸直到底層100表面。該孔洞可為例如和鰭區域源極或是汲極連接之接觸用孔洞。
以下,針對為了評價方法MT而進行之實驗例來說明。以下所說明之實 驗例僅為例示目的,並非用來限定本發明。
實驗例中,於具有第1區域R1以及第2區域R2之晶圓係使用電漿處理裝置10來適用了方法MT。實驗例中,包含製程ST1以及製程ST2之循環的實行次數(亦即循環數)進行了各種變更。以下為實驗例之處理條件。
〔實驗例之處理條件〕
<製程ST1>
‧高頻電力HF:頻率40MHz,500W
‧高頻偏壓電力LF:頻率3MHz,50W
‧電源70之直流電壓:-300V
‧高頻電力HF以及高頻偏壓電力LF之脈衝狀供給的頻率:20kHz
‧高頻電力HF以及高頻偏壓電力LF之脈衝狀供給的工作比:60%
‧處理氣體
C4F6氣體:32sccm
Ar氣體:1500sccm
O2氣體:20sccm
‧1循環中之製程ST1之實行時間:1秒
<製程ST2>
‧高頻電力HF:頻率40MHz,500W
‧高頻偏壓電力LF:頻率3MHz,50W
‧電源70之直流電壓:-300V
‧高頻電力HF以及高頻偏壓電力LF之脈衝狀供給的頻率:20kHz
‧高頻電力HF以及高頻偏壓電力LF之脈衝狀供給的工作比:60%
‧氣體
C4F6氣體:0sccm
Ar氣體:1500sccm
O2氣體:0sccm
‧1循環中之製程ST2之實行時間:5秒
此外,基於參考目的進行了比較實驗例1、比較實驗例2以及比較實驗例3。比較實驗例1中,係將包含該製程STa以及製程STb之循環的實行次數做各種變更,而對於和實驗例之晶圓為同樣的晶圓適用了包含製程STa以及製程STb之方法。此外,製程STa僅在連續供給高頻電力HF以及高頻偏壓電力LF雙方這點和實驗例之製程ST1不同。此外,製程STb和實驗例之製程ST2為同樣的製程。亦即,比較實驗例1中,於製程STa以及製程STb之雙方連續地供給高頻電力HF以及高頻偏壓電力LF。
比較實驗例2中,包含製程STc以及製程STd之方法係對於該製程STd以及包含製程STd之循環的實行次數進行各種變更,而對和實驗例之晶圓為同樣的晶圓適用之。此外,製程STc和實驗例之製程ST1為同樣的製程。此外,製程STd僅在將高頻電力HF以及高頻偏壓電力LF雙方以脈衝狀供給這點和實驗例之製程ST2不同。亦即,比較實驗例2中,係於製程STc以及製程STd之雙方以脈衝狀供給高頻電力HF以及高頻偏壓電力LF。
比較實驗例3中係將製程STa之實行時間設定為1.5秒、其他處理條件則和比較實驗例1之處理條件為同樣處理條件的方法適用於和實驗例為同樣的晶圓。
此外,從個別適用了實驗例、比較實驗例1、比較實驗例2、以及比較實驗例3後的晶圓算出第1區域R1之蝕刻量(亦即第1區域R1之膜厚減少量)以及第2區域R2之膜厚減少量。圖6以及圖7係顯示實驗結果之圖。圖6中橫軸為循環數,縱軸為第1區域之蝕刻量。此外,圖7中橫軸為循環數,縱軸為第2區域之膜厚減少量。
如圖6所示般,確認了依據實驗例(亦即僅製程ST1中脈衝狀供給高頻電力HF)之方法MT,相較於比較實驗例2以及比較實驗例3可大幅維持第1區域之蝕刻量。亦即,依據實驗例,可得到和比較實驗例1為約略同等的第1區域之蝕刻量。此外,如圖7所示般,確認了依據實驗例可使得第2區域之膜厚減少量相較於比較實驗例1做相當程度的降低。從而,確認了依據實驗例可抑制第1區域之蝕刻速率的降低,且可提高第1區域相對於第2區域之蝕刻選擇性。
ST1‧‧‧將晶圓暴露於含氟碳之處理氣體中
ST2‧‧‧藉由沉積物所含氟碳自由基進行蝕刻
ST3‧‧‧是否滿足停止條件

Claims (14)

  1. 一種蝕刻方法,係相對於氮化矽所構成之第2區域,來選擇性蝕刻氧化矽所構成之第1區域之方法;包含:第1工序,係將具有該第1區域以及該第2區域之被處理體暴露於含氟碳氣體之處理氣體的電漿中,蝕刻該第1區域,且於該第1區域以及該第2區域上形成含氟碳之沉積物;以及第2工序,係藉由該沉積物所含該氟碳之自由基來蝕刻該第1區域;該第1工序中,該電漿係藉由脈衝狀之高頻電力而生成;該第1工序與該第2工序係交互地反覆進行;該氧化矽相對於該處理氣體的蝕刻速度係較該氮化矽相對於該處理氣體的蝕刻速度要來得快。
  2. 一種蝕刻方法,係相對於氮化矽所構成之第2區域,來選擇性蝕刻氧化矽所構成之第1區域之方法;包含:第1工序,係將具有該第1區域以及該第2區域之被處理體暴露於含氟碳氣體之處理氣體的電漿中,蝕刻該第1區域,且於該第1區域以及該第2區域上形成含氟碳之沉積物;以及第2工序,係藉由將該沉積物曝露在稀有氣體所生成之電漿,來蝕刻該第1區域;該第1工序中,該電漿係藉由脈衝狀之高頻電力而生成;該第1工序與該第2工序係交互地反覆進行;該氧化矽相對於該處理氣體的蝕刻速度係較該氮化矽相對於該處理氣體的蝕刻速度要來得快。
  3. 如申請專利範圍第1或2項之蝕刻方法,其中該第1工序中為了將離子拉引至該被處理體而供給脈衝狀的高頻偏壓電力。
  4. 如申請專利範圍第3項之蝕刻方法,其中該高頻偏壓電力與該高頻電力為同步。
  5. 如申請專利範圍第1或2項之蝕刻方法,其中該第2工序係藉由連續性供給之高頻電力來產生稀有氣體的電漿。
  6. 如申請專利範圍第1或2項之蝕刻方法,其中該高頻電力之工作比為20%以上、80%以下。
  7. 如申請專利範圍第1或2項之蝕刻方法,其中該第1工序的處理時間相對於該第1工序的處理時間與該第2工序的處理時間之總和之比為30%以上、70%以下。
  8. 如申請專利範圍第1或2項之蝕刻方法,其中該第1工序係於該第2區域上形成含有碳與矽之保護膜。
  9. 如申請專利範圍第8項之蝕刻方法,其中該第1工序係於該保護膜上形成含該氟碳之該沉積物。
  10. 如申請專利範圍第1或2項之蝕刻方法,其中該第1工序中,蝕刻該第1區域之步驟與於該第1區域上形成含有該氟碳的該沉積物之步驟係同時進行。
  11. 如申請專利範圍第1或2項之蝕刻方法,其中該被處理體係被收納在具有上部電極之電漿處理装置;該第1工序中,係對該上部電極施加直流電壓。
  12. 如申請專利範圍第1或2項之蝕刻方法,其中該被處理體係被保持於電漿處理装置的下部電極;該高頻電力係被供給至該下部電極。
  13. 如申請專利範圍第1或2項之蝕刻方法,其中該處理氣體另包含氧氣。
  14. 如申請專利範圍第1或2項之蝕刻方法,其中該第2工序中係供給氧氣。
TW108110226A 2014-08-28 2015-08-26 蝕刻方法 TWI692031B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-174004 2014-08-28
JP2014174004A JP6315809B2 (ja) 2014-08-28 2014-08-28 エッチング方法

Publications (2)

Publication Number Publication Date
TW201929090A TW201929090A (zh) 2019-07-16
TWI692031B true TWI692031B (zh) 2020-04-21

Family

ID=53773374

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104127812A TWI664676B (zh) 2014-08-28 2015-08-26 Etching method
TW108110226A TWI692031B (zh) 2014-08-28 2015-08-26 蝕刻方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104127812A TWI664676B (zh) 2014-08-28 2015-08-26 Etching method

Country Status (6)

Country Link
US (2) US9837285B2 (zh)
EP (1) EP2991103A1 (zh)
JP (1) JP6315809B2 (zh)
KR (1) KR102361782B1 (zh)
CN (1) CN105390388B (zh)
TW (2) TWI664676B (zh)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
CN105810579B (zh) 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102411668B1 (ko) 2016-03-17 2022-06-20 니폰 제온 가부시키가이샤 플라즈마 에칭 방법
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6592400B2 (ja) * 2016-05-19 2019-10-16 東京エレクトロン株式会社 エッチング方法
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
JP6689674B2 (ja) * 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6974088B2 (ja) * 2017-09-15 2021-12-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6910320B2 (ja) * 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7101096B2 (ja) * 2018-10-12 2022-07-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020160125A1 (en) * 1999-08-17 2002-10-31 Johnson Wayne L. Pulsed plasma processing method and apparatus
US20040173571A1 (en) * 1999-04-30 2004-09-09 Franz Laermer Method for etching structures in an etching body by means of a plasma
TW201330089A (zh) * 2011-09-28 2013-07-16 Tokyo Electron Ltd 蝕刻方法及裝置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6221784B1 (en) * 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US6716766B2 (en) * 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
US20050047889A1 (en) 2003-08-25 2005-03-03 Techmech Technologies Corp. Fixing member for securing stacked plates
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
DE102004043233B4 (de) * 2003-09-10 2014-02-13 Denso Corporation Verfahren zum Herstellen eines beweglichen Abschnitts einer Halbleitervorrichtung
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
JP4578887B2 (ja) 2004-08-11 2010-11-10 住友精密工業株式会社 エッチング方法及びエッチング装置
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7655570B2 (en) * 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
JP2007116031A (ja) * 2005-10-24 2007-05-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7531102B2 (en) * 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7737042B2 (en) * 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2010199429A (ja) * 2009-02-26 2010-09-09 Fujifilm Corp プラズマエッチング方法及びプラズマエッチング装置並びに液体吐出ヘッドの製造方法
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN101880914B (zh) * 2010-05-25 2012-09-12 中国科学院微电子研究所 利用等离子体浸没离子注入制备黑硅的方法
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
US9396960B2 (en) * 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN103811342B (zh) * 2012-11-09 2017-08-25 中国科学院微电子研究所 鳍结构及其制造方法
JP6002556B2 (ja) * 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6295119B2 (ja) * 2014-03-25 2018-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040173571A1 (en) * 1999-04-30 2004-09-09 Franz Laermer Method for etching structures in an etching body by means of a plasma
US20020160125A1 (en) * 1999-08-17 2002-10-31 Johnson Wayne L. Pulsed plasma processing method and apparatus
TW201330089A (zh) * 2011-09-28 2013-07-16 Tokyo Electron Ltd 蝕刻方法及裝置

Also Published As

Publication number Publication date
CN105390388B (zh) 2018-12-25
CN105390388A (zh) 2016-03-09
TW201624563A (zh) 2016-07-01
EP2991103A1 (en) 2016-03-02
JP6315809B2 (ja) 2018-04-25
US20160064247A1 (en) 2016-03-03
US9972503B2 (en) 2018-05-15
US20180068865A1 (en) 2018-03-08
KR20160028370A (ko) 2016-03-11
TWI664676B (zh) 2019-07-01
KR102361782B1 (ko) 2022-02-10
JP2016048771A (ja) 2016-04-07
US9837285B2 (en) 2017-12-05
TW201929090A (zh) 2019-07-16

Similar Documents

Publication Publication Date Title
TWI692031B (zh) 蝕刻方法
KR102356211B1 (ko) 에칭 방법
KR102418244B1 (ko) 에칭 방법
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI686863B (zh) 蝕刻有機膜之方法
TWI743123B (zh) 電漿處理方法
TWI716378B (zh) 蝕刻方法
TWI632606B (zh) Method of etching an insulating film
JP6504827B2 (ja) エッチング方法
KR102363050B1 (ko) 피처리체를 처리하는 방법
JP6374781B2 (ja) プラズマ処理方法
JP2014175521A (ja) プラズマエッチング方法
TW202139787A (zh) 基板處理方法及基板處理裝置