CN111788654B - 等离子体处理系统中的调制电源的改进应用 - Google Patents

等离子体处理系统中的调制电源的改进应用 Download PDF

Info

Publication number
CN111788654B
CN111788654B CN201880086789.3A CN201880086789A CN111788654B CN 111788654 B CN111788654 B CN 111788654B CN 201880086789 A CN201880086789 A CN 201880086789A CN 111788654 B CN111788654 B CN 111788654B
Authority
CN
China
Prior art keywords
plasma processing
plasma
processing system
waveform
repetition period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880086789.3A
Other languages
English (en)
Other versions
CN111788654A (zh
Inventor
G·范齐尔
K·费尔贝恩
D·肖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Engineering Solutions Global Holdings Private Ltd
Original Assignee
Advanced Engineering Solutions Global Holdings Private Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Engineering Solutions Global Holdings Private Ltd filed Critical Advanced Engineering Solutions Global Holdings Private Ltd
Publication of CN111788654A publication Critical patent/CN111788654A/zh
Application granted granted Critical
Publication of CN111788654B publication Critical patent/CN111788654B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了等离子体处理系统和方法。该系统可以包括调制等离子体性质的至少一个调制电源,其中等离子体性质的调制具有重复周期T。同步模块被配置为向连接到等离子体处理系统的至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号。波形通信模块向连接到等离子体处理系统的至少一件设备传送表征波形的特性以能够使连接到等离子体处理系统的多件设备同步。表征波形可以包含关于等离子体调制的信息或关于连接到等离子体处理系统的一件设备的期望的波形的信息。

Description

等离子体处理系统中的调制电源的改进应用
依据35U.S.C.§119要求优先权
本专利申请要求于2017年11月17日提交的,名称为“IMPROVED APPLICATION OFAN EV SOURCE IN PLASMA PROCESSING EQUIPMENT”并且转让给其受让人的临时申请No.62/588,255的优先权,并且据此通过引用将其明确并入本文。
技术领域
本公开总体上涉及等离子体处理。具体而言,但并非作为限制地,本公开涉及耦合到等离子体处理系统的设备的互操作。
背景技术
用于蚀刻和沉积的等离子体处理系统已经被利用了数十年,但处理技术和设备技术的进步不断创建了越来越复杂的系统。同时,用工件创建的结构的不断减小的尺寸需要对等离子体处理设备的越来越精确的控制和互操作。当前的控制方法和相关联的系统不能解决与当今和将来的复杂系统相关联的几个问题;因此,需要对不相干然而彼此相关的等离子体处理设备的新的、改进的控制。
发明内容
根据一个方面,一种等离子体处理系统包括调制等离子体性质的至少一个调制电源,其中,等离子体性质的调制具有重复周期T。该等离子体处理系统包括同步模块,该同步模块被配置为向连接到等离子体处理系统的至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号。该等离子体处理系统还包括波形通信模块,该波形通信模块被配置为向连接到等离子体系统的至少一件设备传送具有重复周期T的表征波形的特性,以能够使连接到等离子体处理系统的多件设备同步,其中,具有重复周期T的表征波形包含关于等离子体的调制的信息或关于连接到等离子体处理系统的一件设备的期望的波形的信息中的至少一个。
另一个方面可以被表征为一种用于等离子体处理系统的控制方法。该方法包括用调制电源调制等离子体性质,其中,等离子体性质的调制具有重复周期T。该方法还包括将波形表征为具有重复周期T、包含关于等离子体的调制的信息或关于连接到等离子体处理系统的一件设备的期望的波形的信息中的至少一个,以产生波形数据集。向连接到等离子体系统的至少一件设备发送波形数据集,并且将具有T的整数倍的同步信号重复周期的同步信号发送到连接到等离子体系统的至少一件设备。
又一个方面可以被表征为一种等离子体处理控制系统,其包括波形表征模块,该波形表征模块被配置为针对连接到等离子体系统的一件设备的输出波形生成波形数据集。包括波形重复模块以确定用于连接到等离子体系统的一件设备的重复周期T,并且波形通信模块被配置为向连接到等离子体系统的该件设备或另一件设备中的至少一个传送波形数据集。该等离子体处理系统还包括波形通信模块和同步模块。波形通信模块被配置为向连接到等离子体系统的该件设备或另一件设备中的至少一个传送波形数据集,并且该同步模块被配置为向连接到等离子体系统的一件设备发送具有T的整数倍的同步脉冲重复周期的同步脉冲。
附图说明
图1描绘了被设计为实现对等离子体性质的控制的等离子体处理系统的实施例。
图2描绘了被设计为使用远程等离子体源而不是一个或多个源发生器实现对等离子体性质的控制的等离子体处理系统的另一个实施例。
图3描绘了被设计为使用远程等离子体源和集成偏置电源功率递送系统实现对等离子体性质的控制的等离子体处理系统的又一个实施例。
图4描绘了包括偏置电源的等离子体处理系统。
图5描绘了结合了多个偏置电源的等离子体处理系统的另一个实施方式。
图6是描绘示例性偏置电源的各方面的示意图。
图7包括从偏置电源输出的电压波形的曲线图;对应的鞘层电压的曲线图;以及对应的开关时序图。
图8A描绘了使用两个电压源向图11中描绘的偏置电源提供电压的实施方式。
图8B描绘了使用两个电压源向图11中描绘的偏置电源提供电压的另一个实施方式。
图8C描绘了使用两个电压源向图11中描绘的偏置电源提供电压的又一个实施方式。
图9A描绘了使用三个电压源向图11中描绘的偏置电源提供电压的实施方式。
图9B描绘了使用三个电压源向图11中描绘的偏置电源提供电压的另一个实施方式。
图9C描绘了使用三个电压源向图11中描绘的偏置电源提供电压的又一个实施方式。
图10是描绘同步控制部件的框图。
图11是可以使用同步控制部件履行的方法。
图12描绘了用连接到等离子体处理系统的其他设备同步调制电源的各方面。
图13是描绘可以从主装置执行的示例性方法的流程图。
图14是描绘可以由从装置执行的示例性方法的流程图。
图15是描绘可以用于实施本文所公开的控制方面的部件的框图。
具体实施方式
“示例性”一词在本文中被用于意指“用作示例、实例或者说明”。本文描述为“示例性”的任何实施例未必被解释为相比其它实施例优选的或有利的。
预先标注:在以下附图中的流程图和框图示出了根据本发明各实施例的系统、方法和计算机程序产品的可能实施方式的架构、功能和操作。就此而言,这些流程图或框图中的一些框可以表示代码的模块、片段或部分,其包括用于实施(一个或多个)指定的逻辑功能的一个或多个可执行指令。还应当指出,在一些替代实施方式中,框中所示的功能可以不按照图中所示的顺序发生。例如,根据所涉及的功能,相继示出的两个框实际上可以基本同时被执行,或者可以按照相反次序执行框。还要指出的是,框图和/或流程图图示的每个框、以及框图和/或流程图中的框的组合,可以由执行指定的功能或动作的基于专用硬件的系统或专用硬件和计算机指令的组合来实施。
尽管以下公开内容总体上涉及晶圆等离子体处理,但实施方式可以包括等离子体室内的任何衬底处理。在一些实例中,可以使用本文公开的系统、方法和设备来处理衬底之外的对象。换言之,本公开适用于亚大气压等离子体处理室内的任何对象的等离子体处理,以通过物理或化学手段实现表面改变、表面下改变、沉积或移除。
本公开可以利用如US9287092、US9287086、US9435029、US9309594、US9767988、US9362089、US9105447、US9685297、US9210790中公开的等离子体处理和衬底偏置技术。这些申请整体以引用方式被并入本文。但应当认识到,本说明书中对任何现有出版物(或从其导出的信息)、或对已知的任何事实的参考并非确认或承认或任何形式的暗示,即现有出版物(或从其导出的信息)或已知的事实是常规例程,或形成本说明书所涉及的所致力于的领域中的公共一般知识的一部分。
出于本公开的目的,源发生器是其能量主要涉及生成和维持等离子体的发生器,而“偏置电源”是其能量主要涉及生成表面电势以从等离子体吸引离子和电子的电源。
图1示出了具有直接和间接耦合到包含等离子体102的等离子体室101的很多件设备的等离子体处理系统的实施例。该设备包括真空处理和气体递送设备106、偏置发生器108、偏置匹配网络110、偏置测量和诊断111、源发生器112、源匹配网络113、源测量和诊断114、测量和诊断115、以及系统控制器116。图1中的实施例和本文描述的其他实施例是等离子体处理系统的复杂性的示例,并且本文对等离子体系统的描述有助于传达耦合到等离子体室101的设备的相互关系。
等离子体处理设备的相互关系的示例是调制电源(例如,源发生器112、偏置发生器108和本文进一步论述的其他调制电源)对等离子体性质造成的影响(以及对其的控制)。更具体而言,调制电源可能引起等离子体性质的强调制,例如等离子体102给等离子体处理系统100的设备带来的阻抗。等离子体调制也可能导致等离子体性质的测量的混叠。本文还论述了关于等离子体性质的调制的效果的附加细节。
图1中所示的是等离子体处理系统100(例如,沉积或蚀刻系统),等离子体处理系统100包含等离子体室101,等离子体室101内包含工件(例如,晶圆)103。若干偏置电极104通过偏置测量和诊断系统111连接到偏置匹配网络110,若干偏置发生器108连接到偏置匹配网络110。偏置电极104可以被构建到静电卡盘中,以将工件103保持就位。这可能涉及将高压DC电源107集成到系统中。在很多应用中,使用单个偏置电极104,但利用很多偏置电极104可以用于实现期望的空间控制。
图1中描绘的偏置发生器108可以是施加正弦波形的较低频(例如,400kHz到13.56MHz)RF发生器。还示出了通过源测量和诊断系统114和源匹配网络113连接到若干源发生器112的一组源电极105。在很多应用中,来自单个源发生器112的功率被连接到一个或多个源电极105。源发生器112可以是较高频RF发生器(例如13.56MHz到120MHz)。可以实施真空维持、气体递送和晶圆处理设备106以完成系统,并且可以呈现任选额外的测量和诊断设备115(例如,光学光谱设备)。
图1的实施例中的系统控制器116通过系统控制总线117控制整个系统。系统控制总线117还可以用于从等离子体处理系统的设备采集信息。除了系统控制总线117之外,还可以有专用系统间通信118,专用系统间通信118可以用于例如从源发生器112控制源匹配网络113,或者在子系统之间交换信息而不涉及系统控制总线117。在子系统之间还可以有近实时的通信链路119。这可以采取参考振荡器的形式,以对系统上的不同发生器进行相位锁定,从而提供波形同步信号、电弧检测信号等。虽然单个源发生器112是常见的,具有多个源发生器112和多个偏置发生器108也是常见的,以便实现期望的等离子体密度和期望的对离子能量分布的控制。源发生器112和/或偏置发生器108中的一个或多个可以调制等离子体性质并且被视为调制电源。
图2示出了等离子体处理系统200的实施例,其中源发生器112由远程等离子体源205代替。本领域的普通技术人员将认识到,远程等离子体源205可以包括激励源(例如,RF发生器)以及被配置和设置为产生要提供给等离子体室101的等离子体的等离子体生成室。虽然远程等离子体源205在等离子体室101外部,但是远程等离子体源205可以耦合到等离子体室101以与等离子体室101形成连续的体积。虽然在很多实施例中不太可能,但是在一些实施例中,远程等离子体源205可以调制等离子体室101中的等离子体102的等离子体性质。并且如果远程等离子体源205确实调制了等离子体102的等离子体性质,那么远程等离子体源205和/或偏置发生器108中的一个或多个可以被视为调制电源。
图3示出了等离子体处理系统的另一个实施例,其中多个偏置发生器由集成偏置电源功率递送系统308代替。这样的集成可以例如通过针对RF发生器、公共控制器、辅助电源、测量系统等使用公共DC电源来降低系统复杂性,并降低重复,但到等离子体室101的输出仍然是单个或多个RF频率和/或DC信号的组合。存在很多其他变化,例如,使用源发生器和集成偏置功率递送系统或使用集成源和偏置功率递送系统等。
接下来参见图4,示出了利用偏置电源408(而不是偏置发生器108)对离子能量分布进行更严格的控制的等离子体处理系统的又一个实施例。如图所示,偏置电源408可以向几个不同的电极104施加周期性波形,替代性地,单独的偏置电源408可以耦合到每个电极104(图4中未示出)。如图5所示,设想可以结合多个发生器109利用多个偏置电源508。应当认识到,参考图1-图5描述的实施例不是互相排斥的,并且可以采用所描绘的设备的各种组合。
接下来参考图6,示出的是可以用于实现偏置电源408、508的示例性偏置电源608的一般表示。如图所示,偏置电源608利用三个电压V1、V2和V3。因为输出Vout通过C卡盘电容性耦合,一般不必控制Vout的DC电平,并且可以通过选择V1、V2或V3之一为地(0V)来将三种电压减少为两种。可以使用单独的卡盘电源107,因此不必控制Vout的DC电平。如果不使用单独的卡盘电源,可以控制所有三个电压以控制Vout的DC电平。虽然为了清楚起见未示出,但是两个开关S1和S2可以经由电或光学连接由开关控制器进行控制,从而使开关控制器能够使开关S1、S2断开和闭合,如下文所公开的。所描绘的开关S1、S2可以由单极开关、单掷开关实现,并且作为非限制性示例,开关S1、S2可以由碳化硅金属氧化物半导体场效应晶体管(SiC MOSFET)实现。
在该实施方式中,电压V1、V2和V3可以是DC源电压。如图所示,第一开关S1被设置为将第一电压V1通过电感元件可开关地连接到输出Vout,并且第二开关S2被设置为将第二电压V2通过电感元件可开关地耦合到输出Vout。在这个实施方式中,两个开关连接到公共节点670,并且公共电感元件L1被设置在公共节点和输出节点Vout之间。电感元件的其他布置方式也是可能的。例如,可以有两个单独的电感元件,其中一个电感元件将S1连接到Vout,并且另一个电感元件将S2连接到Vout。在另一个示例中,一个电感元件可以将S1连接到S2,并且另一个电感元件可以将S1或S2连接到Vout。
在参考图6的同时,也参考图7,图7描绘了:1)在Vout处输出的偏置电源608的电压波形;2)对应的鞘层电压;以及3)开关S1和S2的对应的开关位置。在操作中,使第一开关S1暂时地闭合,从而使输出节点Vout处的电压电平沿电压波形的第一部分760(电压V0和Va之间)升高到第一电压电平Va。沿波形的第二部分762维持电平Va。然后,使第二开关S2暂时地闭合,从而使输出节点Vout处的电压波形的电平沿波形的第三部分764降低到第二电压电平Vb。注意,S1和S2除了短时间段之外,都是断开的。如图所示,沿第三部分764的负电压摆动影响鞘层电压(V鞘层);因而,可以控制Va-Vb的大小以影响鞘层电压。
在该实施例中,将第三电压V3通过第二电感元件L2施加到输出节点Vout,从而使输出节点处的电压电平沿电压波形的第四部分766进一步降低。如图7所示,可以建立沿第四部分766的负电压斜变,从而通过补偿撞击衬底的离子来维持鞘层电压。
因而,S1通过第一电感元件L1将第一电压V1暂时地连接到输出Vout并且然后断开,并且在一段时间之后,S2通过第一电感元件L1将第二电压(例如,地)连接到输出Vout并且然后断开。第三电压V3通过第二电感元件L2耦合到输出Vout。在这个实施方式中,第一电压V1可以高于第三电压V3,并且第一电压V1与输出Vout的暂时的连接和断开使得输出Vout的电压沿电压波形的第一部分760升高到第一电压电平Va,并且沿波形的第二部分762维持第一电压电平Va。第一电压电平Va可以高于第一电压V1,并且第二电压V2(例如,地)可以低于第一电压电平Va。第二电压V2的暂时的连接并且然后断开使得输出Vout的电压在第三部分764处降低到第二电压电平Vb,第二电压电平Vb低于第二电压V2(例如,地)。
例如,V1可以是-2000VDC;V2可以是地;V3可以是-5000VDC;V0可以是-7000VDC;Vb可以是-3000VDC;并且Va可以是3000VDC。但是这些电压仅仅是示例性的,以为参考图6和图7描述的电压的相关幅值和极性提供语境。
接下来参考图8A-图8C,示出了用于提供图6中示出的电压V1、V2和V3的两个DC电压源的可能的布置方式。在图8A中,V2被接地并且形成两个DC电压源之间的公共节点。在图8B中,V1被接地,并且V2形成DC电压源之间的公共节点。并且在图8C中,V1被接地,并且形成两个DC电压源中的每个之间的公共节点。
在一些实施例中,如图9A、图9B和图9C所示,可以利用三个DC电压源以施加三种电压V1、V2和V3。如图9A所示,三个DC电压源中的每个可以耦合到地,并且三个DC电压源中的每个提供V1、V2、V3中的对应的一个。在图9B中,DC电压源之一被接地,并且三个DC电压源被串联布置。在图9C中,DC电压源之一被设置在地和V2之间,并且DC电压源中的每个被耦合到V2。
图6中所示的偏置电源608仅仅是可以在Vout处产生如图7所示的输出的偏置电源608的示例。在本文较早提到的引用结合的专利中示出和描述了其他变化。引用结合的专利中还公开了不同的调制方案,可以将其应用于(Vout处的)基本源波形以实现期望的离子能量分布,并控制偏置电源施加到等离子体室的平均功率。
一种调制方案包括调制电压波形的第三部分764,以实现撞击到等离子体室101中的工件103上的离子的期望的离子能量。例如,偏置电源408、508、608可以使电压波形的第三部分764的幅值在两个或更多电平之间交替,以实现等离子体中工件103的在两个或更多不同电平之间交替的表面电势。作为另一个示例,可以调节电压波形的第四部分766的斜率以改变提供给电极104的电流电平(以补偿撞击到工件103上的离子电流),从而实现期望的离子能量的散布(例如,在中心离子能量周围)。在很多等离子体处理系统中成功地将偏置电源408、508、608用作偏置发生器要求仔细的系统设计。
系统同步和通信
调制电源(例如源发生器112、偏置发生器108、远程等离子体源205和偏置电源408、508、608)可能引起等离子体性质的强调制。不做限制,等离子体性质的示例包括由等离子体呈现的阻抗、等离子体密度、鞘层电容和等离子体102中的工件103的表面电势。如上所述,由偏置电源408、508、608施加的电压和/或电流的调制是调制等离子体性质的一种可能原因。
源发生器112还可以通过调制影响等离子体102的电磁场来调制等离子体性质。具体而言,源发生器可以使由源发生器112施加的功率(例如,RF功率)脉冲化。此外,可以改变由源发生器112施加的功率的电压的幅值。添加一个或多个额外的源发生器112会增加额外的复杂度。而且还设想,在源发生器112正在施加脉冲功率的同时,一个或多个偏置电源408、508、608可以调制电压(图6中所示的Vout),并且因此,调制鞘层电压。因而,对等离子体性质(例如,等离子体密度和离子能量)的控制是有挑战性的,并且对等离子体性质的空间控制尤其有挑战性。
如上所述,远程等离子体源205可以代替或增强源发生器112。但是远程等离子体源205也可以是被配置为通过调制等离子体室101中的气体的性质来调制等离子体性质的调制电源。
除了控制挑战之外,一个调制电源可以影响(例如,以不利的方式)另一个调制电源的操作。作为特定、非限制性示例,偏置电源408、508、608可以赋予处于引起等离子体调制的电平的功率,等离子体调制继而使得呈现给源发生器112的负载阻抗发生不期望的变化。此外,强等离子体调制也可能导致等离子体性质的测量的混叠。混叠可能阻碍前向和反射功率的精确测量;从而阻碍操作员检测到损坏性的功率电平和/或阻碍对源匹配网络113或偏置匹配网络110中的至少一个的适当控制。
连接到等离子体系统的设备的同步可以减轻等离子体调制的不利影响(例如,破坏性功率和混叠),并且结果,高度期望进行同步。但是等离子体调制的复杂性、时变方面(例如,源自潜在的很多的调制电源)可能使同步是困难的。
参考图10,示出了被配置为使等离子体处理系统的构成设备同步的同步控制器1016,该等离子体处理系统可以包括调制电源和不调制等离子体102的其他设备。如图所示,同步控制器1016包括用户接口1050、波形表征模块1052、波形重复模块1054、波形通信模块1056以及同步模块1058。
可以由硬件、固件、软件和硬件或其组合来实现所描绘的同步控制器1016的部件。同步控制器1016的功能部件可以分布于等离子体处理系统周围并在连接到等离子体处理系统的设备中复制。并且如本文进一步论述的,同步控制器1016可以被实施为主装置或从装置。
用户接口1050使得操作者能够与等离子体处理系统进行交互,使得操作者可以控制同步的各方面并且操作者可以接收关于设备和等离子体室101的状况的信息。例如,可以由触摸屏、指向设备(例如,鼠标)、显示器以及键盘中的一个或多个来实现用户接口1050。
波形表征模块1052一般被配置为生成表征等离子体处理系统的波形(例如,等离子体的调制的波形或由设备输出(或期望输出)的波形)的波形数据集。波形重复模块1054被配置为针对连接到等离子体系统的一件设备确定重复周期T,并且波形通信模块1056被配置为向连接到等离子体处理系统的该件设备或另一件设备中的至少一个传送波形数据集。同步模块1058被配置为向连接到等离子体系统的一件或多件设备发送具有同步脉冲重复周期(其是T的整数倍)的同步脉冲。
在参考图10的同时参考图11,图11是示出可以结合等离子体处理系统和同步控制器1016履行的方法的流程图。如图所示,用调制电源调制等离子体性质,其中调制具有重复周期T(框1100)。应当认识到,在很多实施例中,T是等离子体调制的重复周期——不是调制电源的循环周期。作为结果,调制电源可以具有重复周期不同于等离子体性质调制的重复周期的输出。例如,调制电源可以具有200微秒的重复周期,并且另一个调制电源可以具有500微秒的重复周期,使得等离子体102以1毫秒的重复周期T被调制。在一些实施例中,T是调制等离子体处理系统的等离子体性质的所有各件设备的波形以周期T呈周期性的最短时间长度。
如图11所示,波形表征模块1052可以将波形表征为具有重复周期T、包含关于等离子体调制或连接到等离子体处理系统的一件设备的期望的波形的信息中的至少一个,以产生波形数据集(框1102)。
简单地参考图12,示出了:偏置电源408、508、608的示例性输出波形1201;对应于工件103表面处的计算的有效电压的波形1203;对应的同步信号1204;以及波形数据集1205的形式的关于波形的信息。在图12中,输出波形1201是偏置电源408、508、608(Vout处)具有基本周期T 1202的实际输出。波形1203是工件103的表面处的计算的有效电压(例如,鞘层电压,其为工件103相对于等离子体102的电压)。还示出了具有T的整数倍的同步信号重复周期的同步脉冲1204(也称为同步信号1204)。并且,波形数据集1205包括关于波形1203的信息;因而,表征波形(图12中所示)为波形1203。应当认识到,波形1203表示工件的在两个或更多不同电平(例如,-500V和-1000V)之间交替的表面电势,但这仅仅是示例而不是必需的。替代性地,表征波形可以是由调制电源生成的输出波形,其在图12中是偏置电源408、508、608的输出波形1201。在又一个实施方式中,具有重复周期T的波形的特性包括等离子体性质的特性,例如等离子体密度、鞘层电容、鞘层电势等。
再次参考图11,通过波形通信模块1056向连接到等离子体系统的至少一件设备发送波形数据集1205(框1104),并且同步模块1058向连接到等离子体系统的至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号1204(框1106)。这种方法使得能够使连接到等离子体处理系统的多件设备同步,其中表征波形包含关于等离子体调制的信息或关于连接到等离子体处理系统的一件设备的期望的波形的信息中的至少一个。应当认识到,可以向接收设备件传送波形数据集,以控制接收设备件(例如,通过检测接收设备件以提供期望的波形)。或者波形数据集可以含有信息(例如,以提供关于等离子体调制的信息或以提供关于调制电源的输出的信息)。
虽然图12描绘了施加具有波形的功率的调制电源的特定示例,该波形能够在接近电极104的区域中对离子能量进行控制,但是该波形表征(框1106)一般性地适用于可以表示等离子体相关的调制(例如,等离子体密度、等离子体阻抗、离子通量等)或其他设备施加的功率的各方面的其他波形。例如,耦合到等离子体处理系统的设备可以包括RF和DC发生器,并且在一些实施方式中,(一个或多个)发生器能够吸收来自等离子体处理系统的功率。还设想在一些实施例中,一个或多个发生器为仅能够吸收来自等离子体处理系统的功率的负载。能够吸收功率的发生器对于例如通过避免室中的驻波而控制等离子体室中的电磁场的空间性质是有用的。
源发生器112中的一个或多个可以使(一个或多个)源发生器112的输出的性质与表征波形(其具有重复周期T)同步。(一个或多个)源发生器112的输出的性质可以是电压、电流、功率、频率或发生器源阻抗中的至少一个。并且,例如,(一个或多个)源发生器112的输出可以包括(在一个重复周期内)脉冲功率,后面是连续波功率。并且,波形数据集可以包括指示功率的一个或多个方面(例如,电压、电流、相位等)的值在重复周期内的时间序列。源发生器112可以使脉冲化与偏置电源408、508、608施加的特定波形同步,该波形例如以不同方式调制负电压摆动(第三部分764)的幅值,同时与源发生器112在连续波操作模式下操作时相比,源发生器112是脉冲化的。这种使用情况仅仅为示例,并且各种其他类型的处理步骤可以促使在各件等离子体处理设备之间的同步。
另外,源发生器112可以相对于具有重复周期T的表征波形中的改变,使源发生器112的输出的性质的改变提前或推迟。如上所述,一些实施方式中的表征波形可以表征等离子体性质的调制。表征波形还可以表征源发生器112或另一个调制电源的波形(根据源发生器112被配置为如何操作)。
耦合到等离子体处理系统(并且如本文公开那样同步)的设备当然不限于调制电源。例如,数据集被发送到的至少一件设备(框1104)可以包括被配置为测量等离子体处理系统的性质的设备。例如,测量可以包括等离子体性质、被递送到等离子体系统的功率的性质、或被递送到等离子体系统的气体的性质的测量中的至少一个。作为另一个示例,被配置为测量性质的设备可以包括源测量和诊断系统114以及偏置测量和诊断系统111中的一个或多个。本领域的普通技术人员认识到,源测量和诊断系统114以及偏置测量和诊断系统111可以包括与硬件结合的一个或多个传感器(例如,定向耦合器和/或VI传感器),以对向等离子体系统递送的功率的性质进行采样和分析(其可以用于测量等离子体阻抗作为等离子体性质)。在利用远程等离子体源205的等离子体处理系统的语境中,可以测量(例如,利用光学或其他测量技术)被递送到等离子体处理系统的气体的性质。如本文所述,等离子体调制可以导致等离子体性质的测量结果的混叠,因此使测量同步到时间窗口内以避免误导的瞬态值(或在调制为局部极小值的时间窗口期间)是有利的。
可以被同步的其他设备包括匹配网络。例如,阻抗匹配网络可以将指示阻抗的测量结果与表征波形同步。通过使测量与时间窗口同步,其中测量不是误导的(例如,在施加到等离子体的功率水平没有大变化时),可以改善匹配。阻抗匹配网络的示例包括源匹配网络113和偏置匹配网络110。
可以经由数字通信链路向耦合到等离子体处理系统的一件或多件设备发送波形数据集1205(框1104)。通信链路可以包括系统控制总线117,系统控制总线117可以通过已知的数字链路(例如,但不限于以太网)来实现。在很多实施方式中,可以将波形数据集1205传送一次,并且然后同步脉冲以重复的方式促使每件设备响应于波形数据集而操作。
可以经由近实时通信链路119向耦合到等离子体处理系统的设备发送同步信号(框1106)。例如,近实时链路可以是模拟通信链路,以提供具有可识别基本脉冲(也称为“滴答”)的单个模拟输出,并且如果需要,在基本脉冲之间发送更新脉冲(也称为“更新滴答”)。另外,同步信号可以包括同步信号重复周期的开始的指示以及自从同步信号重复周期开始已经过去一段时间的至少一个指示。
同步信号重复周期的开始可以由第一持续时间的脉冲指示,并且自从同步信号重复周期开始后已经过去一段时间的指示可以由不同于第一持续时间的第二持续时间的脉冲指示。例如,第一持续时间可以比第二持续时间更长,或反之亦然。
在一些实施方式中,同步信号包括同步信号重复周期的开始的指示,其中同步信号重复周期的开始进一步被修改至少一次,以指示一天中的时间或指示新波形正在生效。
参考图13和图14,示出了分别描述在主设备件处执行的活动和在从设备件处执行的活动的流程图。如图13所示,在主设备件处,获取关于连接到等离子体处理设备的设备的期望的波形的信息(框1300),并确定基本重复周期(框1302)。还确定任何中间同步脉冲是否是维持精确度所必要的(框1304)。生成波形数据集(框1306),并且然后向连接到等离子体处理系统的设备传送波形数据集(框1308)。另外,向连接到等离子体处理系统的设备提供同步脉冲(框1310)。如图所示,如果必要,向设备提供中间同步脉冲(框1312)。还获取关于是否应当改变序列的信息(框1314),并且如果应当改变序列(框1316),则再次执行上文参考框1300到1314所论述的活动。
如图14所示,在从设备件处,接收波形数据集(框1400),并且在将时间设置为零之前(框1404),从设备件然后等待要接收的序列开始脉冲(框1402)。从设备件然后等待要接收的脉冲(框1406),并确定该脉冲是否为序列开始脉冲(框1408),并且如果是,则将时间设置为零(框1410)。如果所接收的脉冲不是序列开始脉冲(框1408),则将时间同步到所接收的脉冲的定时(框1412)。如图所示,如果接收到新的波形数据集(框1414),则设置新波形数据集已接收标志(框1416)。如果设置了新波形数据集已接收标志(框1418),并且所接收的脉冲被修改以指示对新数据集的改变(框1420),那么然后清空新波形数据集已接收标志,并利用新的波形数据集(框1422)。
通过利用精度振荡器,可以以良好的精度维持同步。例如,在所有设备中使用50ppm的振荡器,可以针对低至10kHz的基本脉冲重复率以优于50ns的精度预测波形的改变。对于更长的脉冲重复周期,可以每100μs增加额外的同步脉冲,以使同步保持在50ns精度之内。
源发生器112和偏置电源408、508、608之间的同步可能需要在给定的偏置电源脉冲的末尾处降低电压或切断电压。例如,可能期望避免在偏置电源脉冲中间结束RF脉冲。替代性地,电压的脉冲化或周期性减小可以开始并结束于偏置电源脉冲中的相同的点/相位,但针对不同的脉冲。换言之,可能期望在等于整数个偏置电源脉冲的长度上设置脉冲,无论包络脉冲与个体偏置电源脉冲的开始或结束是否同相。
先前所论述的实施例提供了新颖且非显而易见的系统和方法以创建层压膜以及其他使用情况。诸如类金刚石碳的示例在用等离子体处理沉积时具有非常高的应力,这可能导致膜的剥离,现在可以处理它们以结合低应力石墨或非晶碳层,使得总体膜仍然呈现出类金刚石碳性质,但具有更低的应力。在一些膜中,可能期望在一个周期中沉积膜,在之后的周期中通过脉冲化控制来修改等离子体化学性质并施加高偏置以使膜致密。本文描述的各方面使得能够生产由具有不同光学性质的交替层构成的纳米级“布拉格”结构,交替的层是通过如前所示在每个相应周期中组合脉冲化和偏置电压控制而产生的。换句话讲,可以在第一时间段内实现第一化学性质以沉积第一层,然后可以在第二时间段内实现第二化学性质以沉积第二层。可以将这一操作重复很多次以实现“布拉格”结构。可以由以下一个或多个的变化来实现不同的化学性质:偏置电压;两个或更多偏置电压的占空比;偏置电压定时的交替;源脉冲化;源脉冲化的占空比;源电压;以及组合的源电压和脉冲化。
结合本文公开的实施例描述的方法可以直接被体现为硬件、被编码在非暂态有形处理器可读存储介质中的处理器可执行代码、或两者的组合。参考图15,例如,示出了框图,该框图描绘了可以用于实现同步逻辑的物理部件,所述物理部件可以被实施在耦合到本文所公开的等离子体处理系统的设备中。如图所示,在本实施例中,显示器部分1512和非易失性存储器1520被耦合到总线1522,总线1522还被耦合到随机存取存储器(“RAM”)1524、处理部分(其包括N个处理部件)1526、任选的现场可编程门阵列(FPGA)1527和包括N个收发器的收发器部件1528。虽然图15中示出的部件表示物理部件,但图15并非旨在是详细的硬件图;因而,图15中示出的很多部件可以由通用构造来实现或分布于附加物理部件之间。此外,设想可以利用其他现有和尚未开发的物理部件和架构来实施参考图15所论述的功能部件。
这个显示器部分1512一般地操作用于为用户提供用户接口,并且在几种实施方式中,所述显示器是通过触摸屏显示器实现的。通常,非易失性存储器720是用于存储(例如,持久性存储)数据和可执行代码(包括与实施本文所述的方法相关联的可执行代码)的非暂态存储器。在一些实施例中,例如,非易失性存储器1520包括引导加载程序代码、操作系统代码、文件系统代码和非暂态处理器可执行代码,以促进执行本文描述的方法(例如,参考图11、图13和图14论述的方法)。
在很多实施方式中,非易失性存储器1520通过闪存存储器(例如,NAND或ONENAND存储器)实现,但设想到也可以利用其他存储器类型。虽然可以执行来自非易失性存储器1520的代码,但非易失性存储器中的可执行代码通常被加载到RAM 1524中并由处理部分1526中的N个处理部件中的一个或多个来执行。
与RAM 1524连接的N个处理部件一般地操作用于执行非易失性存储器1520中存储的指令,以使得能够在耦合到等离子体处理系统的设备之间实现同步。例如,用于实现对源发生器和偏置电源的电压进行同步脉冲操作和改变的方法的非暂态处理器可执行代码可以持久存储在非易失性存储器1520中,并由N个处理部件结合RAM 1524执行。本领域的普通技术人员将认识到,处理部分726可以包括视频处理器、数字信号处理器(DSP)、微控制器、图形处理单元(GPU)或其他硬件处理部件或硬件和软件处理部件的组合(例如,FPGA或包括数字逻辑处理部分的FPGA)。
另外,或替代性地,处理部分1526可以被配置为实现本文描述的方法的一个或多个方面(例如,同步操作等离子体处理设备的设备的方法)。例如,非暂态处理器可读指令可以存储在非易失性存储器1520或RAM 1524中,并且在由处理部分1526执行时,使得处理部分1526执行同步操作调制电源和其他设备的方法。替代性地,非暂态FPGA配置指令可以被持久性存储在非易失性存储器1520中并由处理部分1526(例如,在启动期间)访问,以配置处理部分1526的硬件可配置部分,以实现本文所公开的功能(包括同步控制器1016的功能)。
输入部件1530操作用于接收信号(例如,同步信号或具有波形表征数据的数据集),该信号指示等离子体处理系统的设备之间的同步控制的一个或多个方面。在输入部件处接收的信号可以包括,例如,功率控制和数据信号、或来自用户接口的控制信号。输出部件一般地操作用于提供一个或多个模拟或数字信号,以执行设备之间的同步的操作性方面。例如,输出部分1532可以输出同步信号和/或波形数据集。
所描绘的收发器部件1528包括N个收发器链,其可以用于经由无线或有线网络与外部设备通信。N个收发器链中的每个可以表示与特定通信方案(例如,WiFi、以太网、Profibus等)相关联的收发器。
如本领域的技术人员所理解的,本发明的各方面可以被体现为系统、方法或计算机程序产品。因此,本发明的各方面可以采取完全硬件实施例、完全软件实施例(包括估计、驻留软件、微代码等)或组合软件和硬件方面的实施例的形式,在本文中可以将它们全部称为“电路”、“模块”或“系统”。此外,本发明的各方面可以采取体现在一种或多种计算机可读介质中的计算机程序产品的形式,所述计算机可读介质具有体现于其上的计算机可读程序代码。
如本文所使用的,“A、B或C的至少其中之一”的叙述旨在表示“要么为A、B、C,要么为A、B和C的任何组合”。提供前文对所公开的实施例的描述是为了使任何本领域技术人员能够做出或者使用本公开。对这些实施例的各种修改对于本领域的技术人员而言将是显而易见的,并且本文中所限定的一般性原则可以适用于其他实施例,而不脱离本公开的精神或范围。因此,本公开并非旨在局限于文中所示出的实施例,而是将被赋予与文中公开的原理和新颖特征一致的最宽范围。

Claims (65)

1.一种等离子体处理系统,包括:
调制等离子体性质的至少一个调制电源,其中,所述等离子体性质的所述调制具有重复周期T;
同步模块,所述同步模块被配置为向连接到所述等离子体处理系统的至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号;以及
波形通信模块,所述波形通信模块被配置为向连接到所述等离子体处理系统的所述至少一件设备传送具有所述重复周期T的表征波形的特性,以能够使连接到所述等离子体处理系统的多件设备同步,其中,具有所述重复周期T的所述表征波形包含关于所述等离子体的所述调制的信息或关于连接到所述等离子体处理系统的一件设备的期望的波形的信息中的至少一个。
2.根据权利要求1所述的等离子体处理系统,其中,T是调制所述等离子体处理系统的所述等离子体性质的所有各件设备的波形以周期T呈周期性的最短时间长度。
3.根据权利要求1所述的等离子体处理系统,其中,所述调制电源被配置为通过调制影响所述等离子体的电磁场来调制所述等离子体性质。
4.根据权利要求1所述的等离子体处理系统,其中,所述调制电源是远程等离子体源。
5.根据权利要求1所述的等离子体处理系统,其中,所述调制电源被配置为通过调制所述等离子体处理系统中的气体的性质来调制所述等离子体性质。
6.根据权利要求1所述的等离子体处理系统,其中,所述调制电源被配置为使所述等离子体中的工件的表面电势在两个或更多不同的电平之间交替。
7.根据权利要求1所述的等离子体处理系统,其中,具有重复周期T的所述波形的所述特性包括由所述调制电源生成的输出波形的特性。
8.根据权利要求1所述的等离子体处理系统,其中,具有重复周期T的所述波形的所述特性包括所述等离子体性质的特性。
9.根据权利要求1所述的等离子体处理系统,其中,具有重复周期T的所述波形的所述特性包括所述等离子体中的工件的表面电势的特性。
10.根据权利要求1所述的等离子体处理系统,其中,具有重复周期T的所述波形的所述特性包括连接到所述等离子体处理系统的所述至少一件设备的输出的期望的特性。
11.根据权利要求1所述的等离子体处理系统,其中,所述至少一件设备是发生器。
12.根据权利要求11所述的等离子体处理系统,其中,所述发生器使所述发生器的输出的性质与具有重复周期T的所述表征波形同步。
13.根据权利要求12所述的等离子体处理系统,其中,所述同步包括相对于具有重复周期T的所述表征波形的改变,使所述发生器的所述输出的性质的改变提前或推迟。
14.根据权利要求12所述的等离子体处理系统,其中,所述输出的所述性质是电压、电流、功率、频率或发生器源阻抗中的至少一个。
15.根据权利要求11所述的等离子体处理系统,其中,所述发生器是RF发生器或DC发生器之一。
16.根据权利要求15所述的等离子体处理系统,其中,所述发生器能够从所述等离子体处理系统吸收功率。
17.根据权利要求16所述的等离子体处理系统,其中,所述发生器是能够仅从所述等离子体处理系统吸收功率的负载。
18.根据权利要求1所述的等离子体处理系统,其中,所述至少一件设备被配置为测量所述等离子体处理系统的性质。
19.根据权利要求18所述的等离子体处理系统,其中,所述测量包括等离子体性质、被递送到所述等离子体处理系统的功率的性质、或被递送到所述等离子体处理系统的气体的性质的测量中的至少一个。
20.根据权利要求18所述的等离子体处理系统,其中,所述测量与具有所述重复周期T的所述表征波形同步。
21.根据权利要求20所述的等离子体处理系统,其中,所述同步包括相对于具有所述重复周期T的所述表征波形的改变,使所述等离子体处理系统的性质的测量提前或推迟。
22.根据权利要求1所述的等离子体处理系统,其中,所述至少一件设备是阻抗匹配网络。
23.根据权利要求22所述的等离子体处理系统,其中,所述阻抗匹配网络使指示阻抗的测量与具有所述重复周期T的所述表征波形同步。
24.根据权利要求1所述的等离子体处理系统,其中,所述至少一件设备是远程等离子体源。
25.根据权利要求1所述的等离子体处理系统,其中,具有T的整数倍的同步信号重复周期的所述同步信号包括所述同步信号重复周期的开始的指示以及自从所述同步信号重复周期的所述开始已经过去一段时间的至少一个指示。
26.根据权利要求25所述的等离子体处理系统,其中,所述同步信号重复周期的所述开始由第一持续时间的脉冲指示,并且自从所述同步信号重复周期的所述开始已经过去一段时间的所述至少一个指示由不同于所述第一持续时间的第二持续时间的脉冲指示。
27.根据权利要求1所述的等离子体处理系统,其中,具有T的整数倍的同步信号重复周期的所述同步信号包括所述同步信号重复周期的开始的指示,其中,所述同步信号重复周期的所述开始的所述指示进一步被修改至少一次,以指示一天中的时间或指示新波形正在生效。
28.一种用于等离子体处理系统的控制方法,所述方法包括:
用调制电源调制等离子体性质,其中,所述等离子体性质的所述调制具有重复周期T;
将波形表征为具有重复周期T以产生波形数据集,所述波形数据集包括关于所述等离子体的所述调制的信息或关于连接到所述等离子体处理系统的一件设备的期望的波形的信息中的至少一个;
向连接到所述等离子体处理系统的至少一件设备发送所述波形数据集;以及
向连接到所述等离子体处理系统的所述至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号。
29.根据权利要求28所述的控制方法,包括:
确定基本重复周期Tf,其中,Tf是调制所述等离子体处理系统的所述等离子体性质的所有各件设备的波形以周期Tf呈周期性的最短时间长度;
向连接到所述等离子体处理系统的所述至少一件设备发送具有Tf的整数倍的所述同步重复周期的所述同步信号。
30.根据权利要求28所述的控制方法,包括用所述调制电源,通过调制影响所述等离子体的电磁场来调制所述等离子体性质。
31.根据权利要求28所述的控制方法,其中,所述调制电源是远程等离子体源。
32.根据权利要求28所述的控制方法,包括用所述调制电源,通过调制所述等离子体处理系统中的气体的性质来调制所述等离子体性质。
33.根据权利要求28所述的控制方法,包括用所述调制电源,通过使所述等离子体中的工件的表面电势在两个或更多不同电平之间交替来调制所述等离子体性质。
34.根据权利要求28所述的控制方法,其中,具有重复周期T的所述波形的特性包括由所述调制电源生成的输出波形的特性。
35.根据权利要求28所述的控制方法,其中,具有重复周期T的所述波形的特性包括所述等离子体性质的特性。
36.根据权利要求28所述的控制方法,其中,具有重复周期T的所述波形的特性包括所述等离子体中的工件的表面电势的特性。
37.根据权利要求28所述的控制方法,其中,具有重复周期T的所述波形的特性包括连接到所述等离子体处理系统的所述至少一件设备的输出的期望的特性。
38.根据权利要求28所述的控制方法,包括在发生器处接收所述波形数据集和同步信号。
39.根据权利要求38所述的控制方法,包括使所述发生器的输出的性质与由所述波形数据集表征的具有重复周期T的所述波形同步。
40.根据权利要求39所述的控制方法,包括相对于由所述波形数据集表征的具有重复周期T的所述波形的改变,使所述发生器输出的性质的改变提前或推迟。
41.根据权利要求39所述的控制方法,其中,所述输出的所述性质是电压、电流、功率、频率或发生器源阻抗中的至少一个。
42.根据权利要求38所述的控制方法,其中,所述发生器是RF发生器或DC发生器之一。
43.根据权利要求42所述的控制方法,其中,所述发生器能够从所述等离子体处理系统吸收功率。
44.根据权利要求43所述的控制方法,其中,所述发生器是能够仅从所述等离子体处理系统吸收功率的负载。
45.根据权利要求28所述的控制方法,其中,所述至少一件设备测量所述等离子体处理系统的性质。
46.根据权利要求45所述的控制方法,其中,所述测量包括等离子体性质、被递送到所述等离子体处理系统的功率的性质、或被递送到所述等离子体处理系统的气体的性质的测量中的至少一个。
47.根据权利要求45所述的控制方法,包括使测量与由所述波形数据集表征的具有重复周期T的所述波形同步。
48.根据权利要求46所述的控制方法,其中,所述同步包括相对于由所述波形数据集表征的具有重复周期T的所述波形中的改变,使所述等离子体处理系统的性质的测量提前或推迟。
49.根据权利要求28所述的控制方法,其中,所述至少一件设备是阻抗匹配网络。
50.根据权利要求49所述的控制方法,包括使指示阻抗的测量与由所述波形数据集表征的具有重复周期T的所述波形同步。
51.根据权利要求28所述的控制方法,其中,所述至少一件设备是远程等离子体源。
52.根据权利要求28所述的控制方法,其中,具有T的整数倍的所述同步信号重复周期的所述同步信号包括所述同步信号重复周期的开始的指示以及自从所述同步信号重复周期的所述开始已经过去一段时间的至少一个指示。
53.根据权利要求52所述的控制方法,其中,所述同步信号重复周期的所述开始由第一持续时间的脉冲指示,并且自从所述同步信号重复周期的所述开始已经过去一段时间的所述至少一个指示由不同于所述第一持续时间的第二持续时间的脉冲来指示。
54.根据权利要求28所述的控制方法,其中,具有T的整数倍的同步信号重复周期的所述同步信号包括所述同步信号重复周期的开始的指示,其中,所述同步信号重复周期的所述开始的所述指示进一步被修改至少一次,以指示一天中的时间或指示新波形正在生效。
55.一种等离子体处理控制系统,包括:
波形表征模块,所述波形表征模块被配置为针对连接到等离子体系统的一件设备的输出波形生成波形数据集;
波形重复模块,所述波形重复模块被配置为针对连接到所述等离子体系统的一件设备确定重复周期T;
波形通信模块,所述波形通信模块被配置为向连接到所述等离子体系统的所述一件设备或另一件设备中的至少一个传送所述波形数据集;以及
同步模块,所述同步模块被配置为向连接到所述等离子体系统的一件设备发送具有T的整数倍的同步脉冲重复周期的同步脉冲。
56.根据权利要求55所述的等离子体处理控制系统,其中,所述波形表征模块被配置为将所述波形数据集生成为时间-输出-值对的集合,以表示所述一件设备在所述重复周期T期间的所述输出波形。
57.根据权利要求56所述的等离子体处理控制系统,其中,所述时间-输出-值对中的每个包括电压值、电流值或功率值中的至少一个和时间值,以表示所述一件设备在所述重复周期T期间的所述输出波形。
58.根据权利要求55所述的等离子体处理控制系统,其中,所述波形表征模块被配置为生成多个波形数据集,所述多个波形数据集中的每个表征多个输出波形中的对应的一个,并且所述输出波形中的每个是来自连接到所述等离子体系统的多件设备中的对应的一件的输出;
其中,所述波形重复模块被配置为确定基本重复周期Tf,所述基本重复周期Tf是所有所述多个输出波形以周期Tf呈周期性的最短时间长度;
其中,所述波形通信模块被配置为向连接到所述等离子体系统的所述多件设备之一传送针对所述多件设备之一的所述波形数据集中的至少一个;以及
其中,所述同步模块被配置为向多件设备中的至少一个发送具有Tf的整数倍的同步脉冲重复周期的同步脉冲。
59.根据权利要求58所述的等离子体处理控制系统,其中,所述同步模块被配置为:如果连接到所述等离子体系统的所述多件设备之一的振荡器的精确度不足以在所述基本重复周期Tf内以充分的精确度维持时间,则在所述同步脉冲之间发送同步滴答。
60.根据权利要求59所述的等离子体处理控制系统,其中,通过为所述同步滴答使用与所述同步脉冲具有不同持续时间的脉冲,将所述同步滴答与所述同步脉冲区分开。
61.一种非暂态计算机可读介质,包括存储于其上的指令,所述指令用于由处理器执行或用于配置现场可编程门阵列以执行等离子体处理,所述指令包括用于执行以下操作的指令:
用调制电源调制等离子体性质,其中,所述等离子体性质的所述调制具有重复周期T;
将波形表征为具有重复周期T、包含关于所述等离子体的所述调制的信息或关于连接到等离子体处理系统的一件设备的期望的波形的信息中的至少一个,以产生波形数据集;
向连接到所述等离子体处理系统的至少一件设备发送所述波形数据集;以及
向连接到所述等离子体处理系统的所述至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号。
62.一种等离子体处理系统,包括:
用于调制等离子体的等离子体性质的单元,其中,所述等离子体性质的所述调制具有重复周期T;
用于将波形表征为具有所述重复周期T以产生波形数据集的单元,所述波形数据集包括关于所述等离子体的所述调制的信息或关于连接到所述等离子体处理系统的一件设备的期望的波形的信息中的至少一个;
用于向连接到所述等离子体处理系统的至少一件设备发送所述波形数据集的单元;以及
用于向连接到所述等离子体处理系统的所述至少一件设备发送具有T的整数倍的同步信号重复周期的同步信号的单元。
63.一种等离子体处理系统,包括:
偏置电源,所述偏置电源用于施加具有重复周期T的输出波形偏置电源;
同步模块,所述同步模块被配置为向连接到所述等离子体处理系统的至少一件其他设备发送T的整数倍的同步信号重复周期;以及
波形通信模块,所述波形通信模块被配置为向连接到所述等离子体处理系统的所述至少一件其他设备传送由所述偏置电源生成的所述输出波形的特性。
64.一种用于等离子体处理系统的控制方法,所述方法包括:
表征偏置电源的输出波形以产生波形数据集;
针对所述偏置电源的所述输出波形确定重复周期T;
向连接到所述等离子体处理系统的至少一件其他设备发送所述波形数据集;以及
向耦合到所述等离子体处理系统的一件设备发送T的整数倍的同步信号重复周期。
65.一种等离子体处理系统,包括:
等离子体室;
耦合到所述等离子体室的至少一个调制电源,所述至少一个调制电源调制所述等离子体处理室中的等离子体的等离子体性质,其中,所述等离子体性质的所述调制具有重复周期T;
耦合到所述等离子体室的多件其他等离子体处理设备;
同步控制器,所述同步控制器包括非暂态介质,所述非暂态介质包括存储在其上的指令,所述指令用于由处理器执行或用于配置现场可编程门阵列以执行等离子体处理,所述指令包括用于执行以下操作的指令:
用所述重复周期T表征所述至少一个调制电源的波形以产生波形数据集;
向连接到所述等离子体处理系统的所述多件其他等离子体处理设备中的至少一个发送所述波形数据集;以及
向连接到所述等离子体处理系统的所述多件其他等离子体处理设备中的所述至少一个发送具有T的整数倍的同步信号重复周期的同步信号。
CN201880086789.3A 2017-11-17 2018-11-16 等离子体处理系统中的调制电源的改进应用 Active CN111788654B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762588255P 2017-11-17 2017-11-17
US62/588,255 2017-11-17
PCT/US2018/061671 WO2019099937A1 (en) 2017-11-17 2018-11-16 Improved application of modulating supplies in a plasma processing system

Publications (2)

Publication Number Publication Date
CN111788654A CN111788654A (zh) 2020-10-16
CN111788654B true CN111788654B (zh) 2023-04-14

Family

ID=66532524

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880086789.3A Active CN111788654B (zh) 2017-11-17 2018-11-16 等离子体处理系统中的调制电源的改进应用

Country Status (7)

Country Link
US (4) US10811227B2 (zh)
EP (1) EP3711082A4 (zh)
JP (1) JP2021503702A (zh)
KR (1) KR20200100643A (zh)
CN (1) CN111788654B (zh)
TW (1) TWI767088B (zh)
WO (1) WO2019099937A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
KR102364528B1 (ko) 2017-07-07 2022-02-17 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 전력 전달 시스템을 위한 주기 간 제어 시스템 및 그 동작 방법
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
WO2022173629A1 (en) * 2021-02-12 2022-08-18 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230238216A1 (en) 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024015304A1 (en) * 2022-07-12 2024-01-18 Lam Research Corporation Fast frequency tracking control for radiofrequency power amplifiers with rapidly changing plasma loads
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1444257A (zh) * 2002-02-08 2003-09-24 安内华株式会社 射频等离子体处理方法和射频等离子体处理系统
KR20090104783A (ko) * 2008-03-31 2009-10-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
WO2014035894A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
CN103890897A (zh) * 2011-07-28 2014-06-25 先进能源工业公司 用于先进等离子体能量处理系统的离子能量控制系统
CN104160789A (zh) * 2012-02-22 2014-11-19 朗姆研究公司 在等离子体处理系统中同步rf脉冲的方法和装置
CN106298419A (zh) * 2015-05-18 2017-01-04 中微半导体设备(上海)有限公司 电感耦合等离子体处理系统及处理方法
WO2017126184A1 (ja) * 2016-01-18 2017-07-27 株式会社 日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
EP2477207A3 (en) * 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) * 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
JP5246836B2 (ja) * 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
ES2688300T3 (es) * 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP4580040B2 (ja) 2008-07-31 2010-11-10 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US8344559B2 (en) * 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9283635B2 (en) * 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) * 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
JP6035606B2 (ja) * 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP2015115564A (ja) * 2013-12-16 2015-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9520267B2 (en) 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) * 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1444257A (zh) * 2002-02-08 2003-09-24 安内华株式会社 射频等离子体处理方法和射频等离子体处理系统
KR20090104783A (ko) * 2008-03-31 2009-10-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
CN103890897A (zh) * 2011-07-28 2014-06-25 先进能源工业公司 用于先进等离子体能量处理系统的离子能量控制系统
CN104160789A (zh) * 2012-02-22 2014-11-19 朗姆研究公司 在等离子体处理系统中同步rf脉冲的方法和装置
WO2014035894A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
CN106298419A (zh) * 2015-05-18 2017-01-04 中微半导体设备(上海)有限公司 电感耦合等离子体处理系统及处理方法
WO2017126184A1 (ja) * 2016-01-18 2017-07-27 株式会社 日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Also Published As

Publication number Publication date
TW201923820A (zh) 2019-06-16
EP3711082A4 (en) 2021-09-29
US20210074513A1 (en) 2021-03-11
US11264209B2 (en) 2022-03-01
US10811228B2 (en) 2020-10-20
US20190157042A1 (en) 2019-05-23
US20190157041A1 (en) 2019-05-23
US10811227B2 (en) 2020-10-20
CN111788654A (zh) 2020-10-16
US10811229B2 (en) 2020-10-20
KR20200100643A (ko) 2020-08-26
TWI767088B (zh) 2022-06-11
EP3711082A1 (en) 2020-09-23
JP2021503702A (ja) 2021-02-12
WO2019099937A1 (en) 2019-05-23
US20190172685A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
CN111788654B (zh) 等离子体处理系统中的调制电源的改进应用
US11842884B2 (en) Spatial monitoring and control of plasma processing environments
CN114222958B (zh) 具有单个受控开关的偏置电源
JP5922053B2 (ja) Rf生成器の電力および周波数をバイモーダルで自動チューニングするためのシステムおよび方法
US20210202209A1 (en) Integrated control of a plasma processing system
TW201415522A (zh) 用於監控切換模式離子能量分佈系統的錯誤、異常與其它特徵之系統與方法
US20230395355A1 (en) Synchronization of bias supplies
US20230050841A1 (en) Configurable bias supply with bidirectional switch
WO2022177846A1 (en) Integrated control of a plasma processing system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant