WO2017126184A1 - プラズマ処理方法およびプラズマ処理装置 - Google Patents

プラズマ処理方法およびプラズマ処理装置 Download PDF

Info

Publication number
WO2017126184A1
WO2017126184A1 PCT/JP2016/082508 JP2016082508W WO2017126184A1 WO 2017126184 A1 WO2017126184 A1 WO 2017126184A1 JP 2016082508 W JP2016082508 W JP 2016082508W WO 2017126184 A1 WO2017126184 A1 WO 2017126184A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
power
frequency power
plasma processing
processing method
Prior art date
Application number
PCT/JP2016/082508
Other languages
English (en)
French (fr)
Inventor
惇也 田中
哲郎 小野
Original Assignee
株式会社 日立ハイテクノロジーズ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社 日立ハイテクノロジーズ filed Critical 株式会社 日立ハイテクノロジーズ
Priority to KR1020197034086A priority Critical patent/KR102145815B1/ko
Priority to KR1020177020322A priority patent/KR102124407B1/ko
Priority to JP2017562440A priority patent/JP6548748B2/ja
Priority to US15/556,455 priority patent/US10090162B2/en
Priority to TW106101699A priority patent/TWI689986B/zh
Priority to TW108145363A priority patent/TWI711085B/zh
Publication of WO2017126184A1 publication Critical patent/WO2017126184A1/ja
Priority to US16/111,853 priority patent/US20180366335A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Definitions

  • the present invention relates to a plasma processing method and a plasma processing apparatus used for manufacturing a semiconductor device or the like.
  • Plasma etching technology is used to manufacture semiconductor devices, such as MOS FET (Metal-Oxide-Semiconductor Field-Effect Transistor) devices used for electronic devices.
  • MOS FET Metal-Oxide-Semiconductor Field-Effect Transistor
  • the uniformity of the processing within the substrate surface is required to improve the yield of the substrate.
  • a plasma processing technique used for manufacturing a semiconductor device for example, as described in Patent Document 1, as an apparatus for etching a film structure having a step with high accuracy, a vacuum container and a processing of a vacuum container are performed.
  • a plasma processing apparatus comprising: a supply means; an electric field supply means for supplying an electric field for generating plasma in the processing chamber; and an adjustment device for adjusting the energy distribution of ions in the plasma incident on the wafer by high-frequency power. It has been known.
  • the adjusting device is a mechanism for independently changing the energy and distribution of ions incident on the wafer by controlling the output ratio (mixing ratio) of bias power at a plurality of frequencies by a plurality of bias applying devices. .
  • an AM-modulated high frequency voltage is applied by a cathode coupling type plasma processing apparatus, or anode coupling is performed.
  • a technique of applying an FM-modulated high-frequency voltage with a plasma processing apparatus of the type is known.
  • the waveform of the high-frequency power obtained by synthesizing different frequencies changes in a complex manner, and it may not be easy to determine the optimum conditions. Furthermore, it is necessary to match the impedance in accordance with the problem of crosstalk in which the electrical signals are mixed with each other and the mixing ratio of the power, and measures for these structures are required.
  • Patent Document 2 is a technique for generating plasma with a modulated high-frequency voltage and controlling the electron temperature distribution in the plasma and the types and amounts of generated ions and radicals.
  • the incident energy of ions cannot be controlled independently. For this reason, it is insufficient to further improve the controllability of ions incident on the substrate. Since FM modulation of the high frequency voltage is performed by a single power source, switching at the time of frequency change is inferior in speed and is not suitable for more accurate control.
  • An object of the present invention is to provide a plasma processing method and apparatus capable of further improving the controllability of ion incidence onto a substrate.
  • the purpose of the above is to convert the processing gas supplied into the processing chamber into a plasma with high-frequency power for plasma generation, and to apply high-frequency bias power of a different frequency to the sample stage on which the sample is placed, to generate plasma and to ion
  • the plasma is generated by the continuous discharge generated by the continuously supplied power or the duty ratio is set by the intermittently supplied power.
  • a plasma processing method in which at least two bias powers of different frequencies are switched and repeatedly applied to the sample stage when plasma is generated, and the pulse discharge is generated;
  • a processing chamber having a sample stage therein and supplied with a processing gas and evacuated to a desired pressure; a plasma generation power source that is coupled to the processing chamber and converts the processing gas supplied into the processing chamber into plasma;
  • a bias power source that is connected to the sample stage and supplies bias power of different frequencies, and generates plasma by the plasma generation power source and controls the incident energy of ions to the sample placed on the sample stage by the bias power source.
  • the plasma generation power source can be set to supply power for continuous discharge of plasma and power supply for pulse discharge of plasma, and the bias power source has different frequencies. It consists of at least two power supplies that output bias power.
  • the setting range of bias power of different frequencies can be expanded, and the controllability of ion incidence onto the substrate can be further improved.
  • FIG. 1 It is a figure which shows the example of a switching output of the output mixing area
  • FIG. 2nd Example of this invention It is a figure which shows the trigger signal for control of the high frequency power supply for plasma generation and the high frequency power supply for bias in the apparatus of FIG.
  • the embodiment shown below uses the change in the incident energy distribution of ions from the plasma, which changes depending on the frequency of the high frequency bias power, to the substrate to be processed (hereinafter referred to as “wafer”), thereby improving the controllability of ion incidence onto the wafer.
  • the in-plane uniformity of the etching process of the wafer that is, the in-plane uniformity of the etching rate and the in-plane uniformity of the etching shape can be obtained. is there.
  • the means controls the bias voltage to the wafer independently, that is, the sample stage for applying energy to the ions incident on the wafer separately from the control of the high frequency power for generating the plasma.
  • the high frequency power to be applied is controlled independently, and the high frequency power to be applied to the sample stage is controlled by using a plurality of high frequency bias power sources having different frequencies, and alternately and repeatedly supplying the high frequency bias power having different frequencies.
  • the high frequency bias of each frequency up to the maximum allowable value of Vpp of the high frequency bias voltage that can be applied to the sample stage during processing.
  • the power supply can be set, and the controllability of ion incidence to the wafer is further improved.
  • Fig. 1 shows the configuration of the plasma processing apparatus.
  • the vacuum vessel 101 constituting the processing chamber is a cylindrical vessel made of a conductive material such as aluminum and is electrically grounded (grounded).
  • the upper opening of the vacuum vessel 101 is sealed by a top plate 102 made of a material that can transmit electromagnetic waves, for example, quartz.
  • a vacuum evacuation device for evacuating the inside to a predetermined pressure is connected to the lower center of the vacuum vessel 101.
  • a waveguide 103 is provided on the top plate 102 so as to cover the top plate 102, and a high-frequency power source for plasma generation (hereinafter referred to as “plasma power source 105”) is connected via a matching unit 104.
  • plasma power source 105 a high-frequency power source for plasma generation
  • the plasma power source 105 oscillates a microwave of 2.45 GHz.
  • the oscillated microwave propagates through the waveguide 103 and is introduced into the vacuum vessel 101 through the top plate 102.
  • a solenoid coil 106 for forming a magnetic field in the vacuum vessel 101 is wound around the vacuum vessel 101.
  • a shower plate 108 is provided above the vacuum vessel 101 below the top plate 102, and a gas supply device 107 is connected between the top plate 102 of the vacuum vessel 101 and the shower plate 108.
  • the processing gas is supplied from the gas supply device 107 to the space between the top plate 102 and the shower plate 108, and the processing gas is supplied to the processing chamber formed in the vacuum vessel via the shower plate 108.
  • a sample stage 109 is provided in the vacuum container 101, and a wafer is loaded from a wafer carry-in entrance (not shown) and placed and held on the sample stage 109.
  • the sample stage 109 includes a plurality of, in this case, two high frequency power sources for bias of different frequencies, a first high frequency bias power source having a frequency of 13.56 MHz (hereinafter referred to as “first bias power source 113”) and a frequency of 400 KHz.
  • a second high-frequency bias power source (hereinafter referred to as “second bias power source 114”) is electrically connected in parallel via the filter 110 and the first and second matching units 111 and 112.
  • the filter 110 in this case performs a power supply (not shown) during output of the first bias power supply 113 (for example, a power supply of an electrostatic chuck for holding a wafer connected to the sample stage 109 and a wafer temperature control).
  • a function eg, High Pass Filter
  • From a power source other than the second bias power source 114 including the omitted power source for example, the power source of an electrostatic chuck for holding a wafer connected to the sample stage 109 or the power source of a heater for controlling the temperature of the wafer).
  • a function of not allowing the output to pass to the second bias power source 114 side (for example, Low Pass Filter).
  • the plasma power source 105 and the first and second bias power sources 113 and 114 are connected to the control device 115, and output control of each power source described later is performed.
  • the processing gas supplied into the vacuum vessel 101 acts on the action of a microwave electric field introduced through the top plate 102 and a magnetic field formed by a solenoid coil (for example, an electron Plasma is formed by cyclotron resonance (Electron Cyclotron Resonance (ECR)), and plasma is formed in a space between the shower plate 108 and the sample stage 109.
  • a microwave electric field introduced through the top plate 102 and a magnetic field formed by a solenoid coil for example, an electron Plasma is formed by cyclotron resonance (Electron Cyclotron Resonance (ECR)
  • ECR Electro Cyclotron Resonance
  • high frequency power having a frequency of 13.56 MHz is applied to the sample stage 109 from the first bias power source 113, and high frequency power having a frequency of 400 KHz is applied from the second bias power source 114.
  • These high-frequency powers applied to the sample stage 109 are controlled independently of the generation of the plasma, and generate a bias voltage that causes ions in the plasma to enter the wafer.
  • the distribution of ion incident energy differs depending on the frequency of the high frequency bias.
  • the distribution width of the ion energy distribution is narrow at high frequencies, and the ion energy distribution is low at low frequencies.
  • the width of the distribution is wide and has peaks near both ends of the distribution.
  • the processing state of the wafer differs.
  • the output control of the plasma power source 105 and the first and second bias power sources 113 and 114 performed by the control device 115 is performed, for example, as shown in FIG.
  • the plasma power source 105 continuously outputs microwaves and continuously generates plasma in any of FIGS. 2 (a), 2 (b), and 2 (c).
  • the first and second bias power supplies 113 and 114 are continuously switched. As shown in FIG. 2A, the switching between the first and second bias power supplies 113 and 114 is performed at a high frequency (13.56 MHz) after the supply of high-frequency power at a low frequency (400 KHz) (time t (b)).
  • the high frequency power is supplied (time t (c)), and this is repeated with the time t (a) of one switching cycle as one cycle.
  • the repetition frequency is set between 100 Hz and 3 kHz, and in this case, 1 kHz.
  • the ratio of the output times (t (b), t (c)) of the first and second bias power supplies 113, 114 within one cycle (time t (a)) is 0%.
  • the ratio of time t (b) to time t (c) within time t (a) is 20% for time t (b) and 80% for time t (c).
  • both time t (b) and time t (c) are 50%.
  • the time t (b) is 80% and the time t (c) is 20%.
  • the etching process is a planar gate formed by sequentially laminating a SiO 2 film 202, a Poly-Si film 203, and a mask film 204 made of a hard mask on the Si substrate 201, that is, the film structure shown in FIG. The wafer on which electrodes are formed was targeted.
  • Etching conditions include a mixed gas of HBr and O 2 as a processing gas, a total gas flow rate of 200 ml / min, a pressure of 0.4 Pa, an output of the plasma power source 105 of 800 W, an output of the first bias power source 113 and a second bias.
  • Each output of the power supply 114 was set to 25W.
  • the etching rates of the Poly-Si film and the SiO 2 film were evaluated.
  • FIG. 3 (a) shows an etching rate distribution corresponding to the output control of FIG. 2 (a).
  • FIG. 3B shows an etching rate distribution corresponding to the output control of FIG. 2B.
  • FIG. 3C shows an etching rate distribution corresponding to the output control of FIG. 2C.
  • the wafer outer periphery It has been found that the etching rate distribution in the wafer surface can be controlled because the etching rate of the portion decreases.
  • the plasma processing apparatus used in this example is a plasma processing apparatus that utilizes the interaction between the microwave electric field and the magnetic field generated by the solenoid coil.
  • a current flows between the sample stage 109 and the grounded vacuum container 101 through plasma by the high frequency bias power applied to the sample stage 109
  • a magnetic field formed in the vacuum container 101 is formed.
  • the electrons in the plasma will cross.
  • the movement distance of electrons to the inner wall surface of the vacuum vessel 101 serving as a ground is different between the central portion and the outer peripheral portion of the wafer, that is, the wafer central portion is closer to the inner wall surface of the vacuum vessel 101 than the outer peripheral portion. Since the distance becomes longer, the impedance at the center of the wafer increases to the ground than the outer periphery of the wafer.
  • the impedance is related to the frequency of the high frequency power, and the impedance increases as the frequency increases. For this reason, with high frequency high frequency power, the current flows easily in the outer periphery of the wafer where the impedance is smaller than that in the center of the wafer, and the amount of ions from the plasma incident on the wafer increases due to the application of the high frequency bias. The etching rate at the outer peripheral portion is increased.
  • the gas flow in the vacuum vessel 101 is exhausted from the upper part to the lower part of the vacuum vessel 101 through the peripheral space of the sample stage 109.
  • the active species from the plasma supplied to the periphery of the wafer is less than that in the central portion of the wafer, the etching rate is reduced, and the amount of the medium and high etching rates is reduced. It is considered that the etching rate distribution, that is, the middle-high etching rate distribution is obtained.
  • the etching rate distribution is also affected by temperature control within the wafer surface, but is not considered in this discussion.
  • the etching rate distribution is changed from the external high to the medium high by changing the ratio of the output time of the high frequency power of the low frequency (400 KHz) and the high frequency (13.56 MHz).
  • the condition that the etching rate distribution is substantially uniform that is, the ratio of the output time of the low frequency and high frequency high frequency power.
  • the etching rate in the wafer surface can be made uniform. In this way, by switching the output of high-frequency power at a low frequency and high-frequency power at a low frequency alternately so that the ratio of the output time of each power can be controlled, the controllability of ion incidence on the wafer is possible. Can be further improved.
  • high-frequency power having two different frequencies is supplied to the sample stage 109.
  • the high-frequency power is supplied from the other bias power source. Therefore, no current flows into the sample stage 109 from the other bias power source. Therefore, occurrence of crosstalk can be prevented, and high frequency power for bias can be stably supplied to the sample stage 109.
  • a high frequency power source of 13.56 MHz and a high frequency power source of 400 KHz are used as the bias power source.
  • the frequency is such that ions in the plasma can follow and the difference in impedance becomes large. It is better to select the frequency. Further, whichever of the low-frequency and high-frequency high-frequency powers may be switched first.
  • the output voltage (Vpp) is the same for both the low frequency and high frequency high frequency power sources, but as shown in FIG. (Vpp1) and the output voltage (Vpp2) of the high frequency high frequency power supply can be set to different values. In this case, Vpp1> Vpp2, but this can be reversed.
  • the ratio of the output of each of the first bias power source and the second bias power source within one cycle is changed, and the output is alternately switched and periodically applied to the sample stage.
  • the etching rate distribution can be controlled, and the uniformity within the wafer surface can be improved. This also makes it possible to control the distribution of the etching shape in the wafer surface.
  • the maximum allowable power is set to the respective high frequency in the electrical allowable range of the sample stage to which the high frequency power for bias is applied. Output from the power source is possible, and the degree of freedom of setting according to processing is improved.
  • the output of the bias power source is continuously switched without switching time between high frequency power of low frequency and high frequency power of high frequency.
  • a mixing region may be provided at the time of switching.
  • the output from each high-frequency power source at the time of switching in the mixed region gradually decreases the output of the previous high-frequency power source and gradually increases the output of the subsequent high-frequency power source as shown in FIG.
  • FIG. 7B the output of the previous high frequency power supply is lowered stepwise and the output of the subsequent high frequency power supply is raised stepwise.
  • the outputs from the respective high frequency power sources in the mixed region must be such that the sum (total) of the outputs does not exceed the maximum allowable value. Further, it is desirable that the matching between the low frequency high frequency power and the high frequency high frequency power is performed in a period other than the mixed region. By doing in this way, the low frequency high frequency power and the high frequency high frequency power can be stably matched.
  • Fig. 8 shows the configuration of the plasma processing apparatus.
  • the same reference numerals as those in FIG. 1 differs from the apparatus of FIG. 1 in that the outputs of the plasma power supply and the bias power supply are detected and the outputs of the bias power supply are synchronized when the output of the plasma power supply is intermittently time-modulated. The point is that the timing can be controlled.
  • a Vpp detector 301 is connected to the matching unit 104 for the plasma power source 105, the rising of the output of the plasma power source 105 is detected by the Vpp detector 301, and the detection signal is transmitted to the output detection unit 305 as a trigger signal 302.
  • a Vpp detector 303 is connected to the sample stage 109, rising edges of the outputs of the first bias power supply 113 and the second bias power supply 114 are detected by the Vpp detector 303, and the detection signal is transmitted to the output detection unit 305 as a trigger signal 304. To do.
  • the output detection unit 305 calculates the time difference (t) between the trigger signals 302 and 304 shown in FIG. 9 transmitted from the Vpp detectors 301 and 303 and transmits the time difference signal 306 to the output control unit 307.
  • the output control unit 307 corrects the time difference (t) based on the received time difference signal 306, and synchronizes the outputs of the plasma power source 105, the first bias power source 113, and the second bias power source 114 controlled by the control device 115 ′. .
  • the output detection unit 305 and the output control unit 307 are incorporated in the control device 115 ′, but these are separated from the control device, and the values corrected by the output control unit 307 are used as respective correction signals for the plasma.
  • the power may be transmitted to the power source 105, the first bias power source 113, and the second bias power source 114 and fed back to synchronize the outputs of the first bias power source 113 and the second bias power source 114 with the output of the plasma power source 105. .
  • FIG. 10 (a) shows the same output state as FIG. 2 (b), the same control as in the previous embodiment is possible, and it is high after the supply of high frequency power at a low frequency (time t (b)).
  • Supply of high frequency power of a frequency (time t (c)) is performed, and this is repeated with time t (a) as one cycle.
  • FIG. 10B shows the case where the high frequency power for plasma is continuous and the high frequency power for bias is duty ratio controlled to output intermittently alternately.
  • the outputs of the first and second bias power supplies 113 and 114 are respectively controlled with a duty ratio of 50%, a power supply stop period is provided after the supply of the low frequency high frequency power (time t (d)), and the high frequency After the supply of high-frequency power (time t (e)), a power supply stop period is provided, and this is repeated with time t (a) as one cycle.
  • FIG. 10C shows a case where the output of the high frequency power for the plasma and the output of the high frequency power for the bias are controlled with the same duty ratio, and the output is controlled intermittently.
  • one of the high-frequency powers for plasma generation has time (b) as one cycle, power is supplied for a time t (d) at a duty ratio of 50%, and intermittent discharge, that is, pulse discharge is performed. Is called.
  • time t (b) and time t (c) are equal
  • time t (d) and time t (e) are equal.
  • the outputs of the first and second bias power supplies 113 and 114 are performed in the same manner as in FIG. 10B, and this is repeated with time t (a) as one cycle.
  • the repetition frequency of the output of the plasma power supply is twice the repetition frequency of the output of the bias power supply, and the supply of the low frequency high frequency power for bias (time t ( d)) and a high frequency high frequency power supply (time t (e)) are performed.
  • the etching rate distribution as shown in FIG. 11 is obtained.
  • the wafer etching rate distribution shown in FIG. 11 is obtained when the wafer having the laminated structure shown in FIG. FIG. 11 (a) corresponds to the control of FIG. 10 (a), and the etching rate has a substantially uniform distribution in the wafer surface as in FIG. 3 (b).
  • the Poly-Si etching rate was 51.6 nm / min
  • the SiO 2 etching rate was 1.7 nm / min
  • the selection ratio of Poly-Si / SiO 2 was 30.4.
  • FIG. 11B corresponds to the control of FIG. 10B.
  • the bias RF power output is controlled to be turned on / off at a duty ratio of 50%, and the etching rate distribution is almost uniform on the wafer surface. was gotten.
  • the Poly-Si etching rate is 51.6 nm / as shown in FIG.
  • the SiO 2 etch rate decreased from 1.7 nm / min to 0.9 nm / min from min to 45.2 nm / min, the selectivity ratio of Poly-Si / SiO 2 was improved from 30.4 to 50.2 and high. The selectivity can be obtained.
  • FIG. 11 (c) corresponds to the control of FIG. 10 (c), and the output of the high frequency power for plasma generation and the output of the high frequency power for bias is on / off controlled at a duty ratio of 50%, respectively.
  • the Poly-Si etching rate is 45.2 nm / as shown in FIG.
  • FIG. 12 shows an etching shape when the wafer is etched by the control shown in FIGS. 10 (b) and 10 (c).
  • FIG. 12A shows an initial shape before etching in which a dense and dense pattern is formed on the wafer having the laminated structure shown in FIG.
  • FIG. 12B shows the etched shape after the processing in the output control of FIG. 10B.
  • the control as shown in FIG. 10B that is, when only the high frequency power for bias is controlled on and off in the continuous discharge state.
  • the dense Poly-Si film 203 is etched vertically, but the sparse Poly-Si film 203 has a tapered shape.
  • FIG. 12C shows the etched shape after the process in the output control of FIG. 10C, and control as shown in FIG. 10C, that is, the high frequency power for plasma generation and the high frequency power for bias are synchronized.
  • the dense Poly-Si film 203 is etched vertically, and the sparse Poly-Si film 203 is also etched substantially vertically.
  • the control of FIG. 10C resulted in a lower etching rate as described above.
  • the on / off control in which the high frequency power for plasma generation and the high frequency power for bias are synchronized in the etching process for a wafer having a sparse / dense pattern. In-plane uniformity of shape was obtained and effective. This is because, as shown in FIG. 10C, the degree of plasma dissociation can be controlled by using pulse discharge for plasma generation, and the generation of sedimentary species in the plasma is suppressed, and the plasma is supplied to the etched sidewall surface of the dense portion. Therefore, it is considered that an etching process having a substantially vertical shape that can be tolerated in the sparse / dense portion can be performed.
  • FIGS. 10B and 10C show examples in which the high-frequency power for plasma generation and / or bias is controlled to be turned on / off at a duty ratio of 50%.
  • the optimum duty ratio at ON is changed according to the material and structure, that is, the ratio of the time t (d) to the time t (b) and the ratio of the time t (e) to the time t (c) are arbitrarily set. It goes without saying that it can be changed.
  • the duty ratio is set so that the high frequency power of the high and low frequencies for bias is intermittently controlled on and off, but the duty ratio of the high frequency power of either high or low frequency is set to 100%.
  • the other high-frequency power can be intermittently controlled on and off.
  • the on / off timings of the high frequency power for plasma generation and the bias are controlled substantially simultaneously, but the high frequency power for bias is within the on time of the high frequency power for plasma generation. If ON / OFF is performed, the ON / OFF timing of the high frequency power for bias is not limited to this.
  • the in-plane distribution of the etching rate can be adjusted similarly to the above-described one embodiment, and the in-plane distribution of the etching rate can be made uniform.
  • in-plane uniformity of the etching shape can be improved by applying time modulation for turning on / off the high-frequency power. In this way, by using a configuration in which the output of the high-frequency power of the low frequency and the high-frequency power of the high frequency is alternately switched and the ratio of the output time of each power can be controlled, the controllability of ion incidence to the wafer is achieved. Can be further improved.
  • FIG. 10 (c) shows that time t (b) and time t (c) in which ON / OFF of the high-frequency power for plasma generation is one cycle are made equal, and time (b)
  • time t (f) the time during which the high-frequency power for plasma generation is turned on is defined as the time t (f) within one period of time t (a) in which switching between the low frequency and high frequency high-frequency power is performed.
  • switching between a low frequency for bias and high frequency power of a high frequency may be performed.
  • the ratio of the output time t (b) of the high-frequency high-frequency power and the output time t (c) of the high-frequency high-frequency power within the period (a) of one cycle is set, and each time t (b ) And t (c), the time t (d) and t (e) when the output of the high frequency power is turned on is set (or the duty ratio of the on time is set), and the output of the low frequency high frequency power for bias is set.
  • the on-time t (f) of the high-frequency power for plasma generation is set (or the duty ratio of the on-time is set) within the time (a) of one cycle, and the bias is used within the time t (f).
  • the time during which the high-frequency power for plasma generation is turned off within one period of time t (a) is the time when the high-frequency power for bias is also turned off.
  • FIG. 15 shows the on-time t (i) and t of the high frequency power in the output time t (g) of the low frequency high frequency power for bias and the output time t (h) of the high frequency high frequency power in FIG. This is an example in which (k) is set (or the duty ratio of each on-time is set).
  • the first and second bias power sources 113 and 114 are connected to the sample stage corresponding to the entire surface of the wafer so that the bias high frequency power acts on the entire surface of the wafer.
  • the sample stage is divided into a plurality of regions.
  • the first and second bias power supplies 113 and 114 are connected to each region in the radial direction, the circumferential direction, or a combination thereof, and bias control is performed under different conditions for each region. May be.
  • the bias power supply in this case may be (1) a plurality of sets provided and controlled independently for each set, or (2) one set of bias power supplies connected in parallel to each region.
  • the high-frequency power that is always output from the control unit may be controlled by changing the conditions for each region through a control circuit that can control the output by changing the ratio of the output time for each region.
  • the plasma processing apparatus is not limited to this, and the inductively coupled type or capacitively coupled type plasma processing apparatus may also be used. Needless to say, it can be applied.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

エッチング処理される基板の面内均一性を得るため、基板へのイオンの入射の制御性をより向上させる。 プラズマ処理装置において、プラズマの生成と基板へのイオンの入射エネルギー制御とを独立に行い、プラズマを連続放電またはパルス放電により生成し、プラズマが生成されているときに試料台に少なくとも2つの異なる周波数のバイアス電力を切り替え交互に繰り返し印加する。

Description

プラズマ処理方法およびプラズマ処理装置
 本発明は、半導体デバイス等の製造に用いるプラズマ処理方法およびプラズマ処理装置に関する。
 半導体デバイス、例えば、電子機器等に使用されるMOS FET(Metal-Oxide-Semiconductor Field-Effect Transistor)デバイス等の製造には、プラズマエッチング技術が用いられている。プラズマを用いた半導体基板(以下、「基板」と略)のエッチング処理では、基板における歩留まりを向上させるため基板面内の処理の均一性が求められる。近年のように微細化が進む中で基板面内のエッチング形状の均一化を図るには、プラズマから基板への入射させるイオンの制御が重要になってくる。
 従来、半導体デバイスの製造に用いられるプラズマ処理技術としては、例えば、特許文献1に記載されているように、段差を有する膜構造を高精度にエッチングする装置として、真空容器と、真空容器の処理室内に配置されその上面にウエハが載せられる下部電極と、下部電極にバイアス電位を形成するための高周波電力を供給する異なる周波数の複数のバイアス印加装置と、処理室内に反応性ガスを導入するガス供給手段と、処理室内にプラズマを生成するための電界を供給する電界供給手段と、高周波電力によりウエハに入射するプラズマ中のイオンのエネルギーの分布を調節する調節装置と、を備えたプラズマ処理装置が知られている。また、調節装置は複数のバイアス印加装置による複数の周波数のバイアス電力の出力比(混合比)を制御することによりウエハに入射するイオンのエネルギーとその分布とを独立に変化する機構となっている。
 また、ウエハへ入射するイオンのエネルギーを制御する技術として、例えば、特許文献2に記載されているように、カソードカップリング形のプラズマ処理装置でAM変調した高周波電圧を印加したり、アノードカップリング形のプラズマ処理装置でFM変調した高周波電圧を印加したりする技術が知られている。
特開2008-244429号公報 特開2000-150196号公報
 上述の特許文献1に記載された従来技術は、更なる素子の微細化に対応するためのイオンの制御性の点において十分に配慮されていなかった。すなわち、イオンに基板への入射エネルギーを与えるためのバイアス電力が、高周波と低周波の電力を重畳(混合)し、その混合比を変化させて得るようになっている。このため、試料台に印加される重畳された合成高周波電力の電圧波形の最大電位差(以下、「Vpp」と称する)がハード上の許容値を超えないようにしなくてはならず、各高周波電力のVppは許容最大値より小さい範囲で使用することになり、それぞれに最大出力まで出せないので、制御性の点において十分に異なる周波数の特徴を活かせない。
 また、異なる周波数を合成して得られた高周波電力の波形は複雑に変化し、最適な条件出しが容易でない可能性がある。さらに、互いの電気信号同士が混成するクロストークの問題や電力の混合比に応じてインピーダンスの整合を図ることが必要となり、これらの構造上の対策が必要となる。
 また、上述の特許文献2に記載された従来技術は、変調された高周波電圧によってプラズマの生成を行い、プラズマ内の電子温度分布や発生するイオン、ラジカルの種類や量をコントロールする技術であり、イオンの入射エネルギーを独立に制御することはできない。このため、基板に入射するイオンの制御性をより向上させるには不十分である。なお、高周波電圧のFM変調は1つの電源で行われるので、周波数変更時の切り換えに迅速性が劣り、より精度の高い制御には適さない。
 本発明の目的は、基板へのイオンの入射の制御性をより向上させることのできるプラズマ処理方法および装置を提供することにある。
 上記目的は、プラズマ生成用の高周波電力によって処理室内に供給される処理ガスをプラズマ化するとともに試料が配置される試料台に異なる周波数の高周波バイアス電力を印加し、プラズマの生成と試料へのイオンの入射エネルギー制御とを独立に行い、処理室内で試料をプラズマ処理する方法において、プラズマは、連続的に供給される電力により生成される連続放電またはデューティー比設定され間欠的に供給される電力により生成されるパルス放電とし、プラズマが生成されているときに試料台に少なくとも2つの異なる周波数のバイアス電力を切り替え交互に繰り返し印加するプラズマ処理方法とし、
試料台をその内部に有し処理ガスが供給されると共に所望の圧力に減圧排気される処理室と、処理室に結合され処理室内に供給される処理ガスをプラズマ化するプラズマ生成用電源と、試料台に接続され異なる周波数のバイアス電力を供給するバイアス用電源とを有し、プラズマ生成用電源によるプラズマの生成とバイアス用電源による試料台に配置される試料へのイオンの入射エネルギー制御とを独立に行い、試料をプラズマ処理する装置において、プラズマ生成用電源は、プラズマを連続放電させる電力の供給と、プラズマをパルス放電させる電力の供給とを設定可能であり、バイアス電源は、異なる周波数のバイアス電力を出力する少なくとも2つの電源から成り、プラズマ生成用電源によるプラズマの生成のとき、異なる周波数のバイアス電力を切り替えて試料台に交互に繰り返し供給するようにバイアス電源を制御する制御装置を具備したプラズマ処理装置とすることにより、達成される。
 本発明により、異なる周波数のバイアス電力の設定範囲を広げることができ、基板へのイオンの入射の制御性をより向上させることができる。
本発明の一実施例であるプラズマ処理装置を示す概略構成図である。 図1の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の出力状態を示す波形図である。 図2の出力を用いてウエハをエッチングしたときの各出力状態におけるエッチングレート分布を示す図である。 図3のエッチング対象であるウエハの一例を示す図である。 図2に示す出力状態の他の例を示す波形図である。 図1の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の他の出力例を示す波形図である。 図6に示す出力波形におけるバイアス用高周波電源の出力混合領域の切替出力例を示す図である。 本発明の第二の実施例であるプラズマ処理装置を示す概略構成図である。 図8の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の制御用のトリガー信号を示す図である。 図8の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の出力状態を示す波形図である。 図10の出力を用いてウエハをエッチングしたときの各出力状態におけるエッチングレート分布を示す図である。 図10の出力を用いてウエハをエッチングしたときの各出力状態におけるエッチング形状を示す図である。 図8の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の他の出力例を示す波形図である。 図8の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の他の出力例を示す波形図である。 図8の装置におけるプラズマ生成用高周波電源およびバイアス用高周波電源の他の出力例を示す波形図である。
 以下に示す実施例は、高周波バイアス電力の周波数によって変わるプラズマから被処理基板(以下、「ウエハ」という)へのイオンの入射エネルギー分布の変化を利用し、ウエハへのイオン入射の制御性をより向上させて、微細化する半導体デバイス構造に対応しウエハのエッチング処理の面内均一性、すなわち、エッチングレートの面内均一性およびエッチング形状の面内均一性を得ることができるようにしたものである。
 そしてその手段は、プラズマの生成とは別にウエハへのバイアス電圧を独立に制御、すなわち、プラズマを生成するための高周波電力の制御とは別にウエハに入射するイオンにエネルギーを与えるための試料台に印加する高周波電力の制御を独立に行い、さらに試料台に印加する高周波電力の制御を異なる周波数の高周波バイアス電源を複数用いて、異なる周波数の高周波バイアス電力を切り替えて交互に繰返し供給する。
 この切り替え・交互の繰返しにより、異なる周波数の高周波バイアス電力の単独供給時間帯を設けることで、処理時に試料台に印加可能な高周波バイアス電圧のVppの許容値の最大まで、それぞれの周波数の高周波バイアス電源の設定を可能とし、ウエハへのイオン入射の制御性をより向上させている。以下、本発明の一実施例を図1ないし図5により説明する。
 図1にプラズマ処理装置の構成を示す。この場合、処理室を構成する真空容器101は、例えば、アルミニウム等の導電材料で製作された円筒状の容器であり、電気的に接地(アース)されている。真空容器101の上部開口は電磁波が透過可能な材質、例えば、石英で成る天板102によって封止されている。真空容器101下部中央には内部を所定圧力に減圧排気する真空排気装置が接続されている。天板102の上部には天板102を覆って導波管103が設けられ、整合器104を介してプラズマ生成用の高周波電源(以下、「プラズマ電源105」という)が接続されている。
 プラズマ電源105は、この場合、2.45GHzのマイクロ波を発振する。発振されたマイクロ波は導波管103を伝播し天板102を介して真空容器101内に導入される。真空容器101の外側には真空容器101内に磁場を形成するためのソレノイドコイル106が巻装されている。天板102の下方の真空容器101上部にはシャワープレート108が設けられ、真空容器101の天板102とシャワープレート108との間にガス供給装置107が接続される。
 ガス供給装置107から天板102とシャワープレート108との間の空間に処理ガスが供給され、シャワープレート108を介して真空容器内に形成される処理室内に処理ガスが供給される。真空容器101内には試料台109が設けられ、図示を省略したウエハ搬入口よりウエハが搬入され試料台109上に配置・保持される。試料台109には、複数、この場合、2つの異なる周波数のバイアス用の高周波電源である周波数13.56MHzの第1の高周波バイアス電源(以下、「第1バイアス電源113」という)と周波数400KHzの第2の高周波バイアス電源(以下、「第2バイアス電源114」という)とが、フィルター110および第1,第2整合器111,112を介してそれぞれ電気的に並列に接続されている。
 この場合のフィルター110は、第1バイアス電源113の出力中に図示を省略した電源(例えば、試料台109に接続されるウエハを保持するための静電吸着装置の電源やウエハの温度制御を行うヒーターの電源等)を含めた第1バイアス電源113以外の電源からの出力を第1バイアス電源113側に通過させない機能(例えば、High Pass Filter)と、第2バイアス電源114の出力中に図示を省略した電源(例えば、試料台109に接続されるウエハを保持するための静電吸着装置の電源やウエハの温度制御を行うヒーターの電源等)を含めた第2バイアス電源114以外の電源からの出力を第2バイアス電源114側に通過させない機能(例えば、Low Pass Filter)とを有する。プラズマ電源105および第1,第2バイアス電源113,114は制御装置115につながり、後述する各電源の出力制御が行われる。
 上述のように構成された装置では、真空容器101内に供給された処理ガスが、天板102を介して導入されたマイクロ波の電界とソレノイドコイルにより形成された磁界との作用(例えば、電子サイクロトロン共鳴:Electron Cyclotron Resonance(ECR))によってプラズマ化され、シャワープレート108と試料台109との間の空間にプラズマが形成される。
 また、試料台109には、第1バイアス電源113から周波数13.56MHzの高周波電力が印加され、第2バイアス電源114から周波数400KHzの高周波電力が印加される。試料台109に印加されるこれらの高周波電力はプラズマの生成とは独立に制御され、プラズマ中のイオンをウエハに入射させるバイアス電圧を生じさせる。
 イオンの入射エネルギーは高周波バイアスの周波数によってその分布が異なることが知られており、特許文献1に開示されているように高い周波数ではイオンエネルギ分布の分布幅が狭くなり、低い周波数ではイオンエネルギ分布の分布幅が広がり分布の両端近辺にそれぞれピークをもつ。このような異なるイオンエネルギ分布をもつ入射イオンによって、ウエハの処理状態が異なってくる。
 制御装置115が行うプラズマ電源105および第1,第2バイアス電源113,114の出力制御は、例えば、図2に示すように行われる。プラズマ電源105は、図2(a)(b)(c)のいずれの場合もマイクロ波を連続的に出力し連続的にプラズマを生成する。第1,第2バイアス電源113,114は連続的な切り替えが行われる。第1,第2バイアス電源113,114の切り替えは、図2(a)に示すように、低い周波数(400KHz)の高周波電力の供給(時間t(b))の後に高い周波数(13.56MHz)の高周波電力の供給(時間t(c))が行われ、1回の切り替えサイクルの時間t(a)を1周期としてこれが繰り返される。
 繰り返し周波数は、100Hzから3kHzの間で設定され、この場合、1kHzとした。また、制御装置115の機能として、1周期(時間t(a))内の第1,第2バイアス電源113,114のそれぞれの出力時間(t(b),t(c))割合を0%から100%の比率で出力設定できる。図2(a)のケースは、時間t(a)内の時間t(b)と時間t(c)の割合は、時間t(b)が20%、時間t(c)が80%である。図2(b)のケースは、時間t(b)および時間t(c)とも50%である。図2(c)のケースは、時間t(b)が80%、時間t(c)が20%である。
 図2に示すように出力制御された低い周波数(400KHz)の高周波電力(LF)と高い周波数(13.56MHz)の高周波電力(HF)とによるエッチング処理では、この場合、図3に示すようなエッチングレートの分布となった。なお、この場合のエッチング処理は、図4に示す膜構造、すなわち、Si基板201上にSiO膜202、Poly-Si膜203、ハードマスクで成るマスク膜204を順次積層してなるプレーナー型ゲート電極が形成されるウエハを対象とした。
 エッチング条件は、処理ガスとしてHBrとOの混合ガスを用い、全ガス流量を200ml/min、圧力を0.4Pa、プラズマ電源105の出力を800W、第1バイアス電源113の出力と第2バイアス電源114の出力を各々25Wとした。エッチング処理の評価はPoly-Si膜およびSiO膜のそれぞれのエッチングレートを評価した。
 図3(a)は図2(a)の出力制御に対応したエッチングレート分布を示し、LF:HF=20%:80%では、Poly-Si膜およびSiO膜ともにウエハの中心でエッチングレートが低く、外周でエッチングレートが高い外高分布となった。図3(b)は図2(b)の出力制御に対応したエッチングレート分布を示し、LF:HF=50%:50%では、Poly-Si膜およびSiO膜ともにウエハ面内でエッチングレートがほぼ均一な分布となった。図3(c)は図2(c)の出力制御に対応したエッチングレート分布を示し、LF:HF=80%:20%では、Poly-Si膜およびSiO膜ともにウエハの中心でエッチングレートが高く、外周でエッチングレートが低い中高分布となった。
 このように、低い周波数(400KHz)の高周波電力と高い周波数(13.56MHz)の高周波電力を切り替える1周期内で、低い周波数の高周波電力の供給時間の割合を徐々に増やしていくに従い、ウエハ外周部のエッチングレートが低下するので、ウエハ面内のエッチングレート分布を制御可能であることが分かった。なお、低い周波数の高周波電力の供給時間の割合が増える、言い換えると、高い周波数の高周波電力の供給時間の割合が減少するに従い、ウエハ外周部のエッチングレートが低下する理由としては、次のことが考えられる。
 本実施例に用いたプラズマ処理装置がマイクロ波の電界とソレノイドコイルによる磁界との相互作用を利用したプラズマ処理装置である。このような装置では、試料台109に印加したバイアス用高周波電力によりプラズマを介して試料台109と接地された真空容器101との間で電流が流れる際に、真空容器101内に形成された磁場をプラズマ中の電子が横切ることとなる。このため、ウエハの中心部と外周部とではアースとなる真空容器101の内壁面までの電子の移動距離が異なることから、すなわち、ウエハ中心部は外周部に比べ真空容器101の内壁面までの距離が長くなることから、ウエハ中心部はウエハ外周部に比べアースまでのインピーダンスが増加する。
 また、インピーダンスは高周波電力の周波数に関係し、周波数が高くなればインピーダンスも大きくなる。このため、高い周波数の高周波電力ではウエハ中心部よりインピーダンスが小さくなるウエハ外周部において電流が流れ易くなり、高周波バイアスの印加によってウエハに入射するプラズマからのイオンの量がウエハ外周部で増え、ウエハ外周部のエッチングレートが高くなる。
 これに対し、低い周波数の高周波電力では、周波数によるインピーダンス増加も少なく、ウエハの外周部および内周部におけるインピーダンスの差が小さくなるので、ウエハ面内におけるプラズマからのイオンの量に差がなくなる。しかしながら、本実施例に用いたプラズマ処理装置の特性によれば、真空容器101内のガス流れは試料台109の周辺空間を介して真空容器101の上部から下部に向けて排気される。
 このため、ウエハ周辺に供給されるプラズマからの活性種がウエハ中央部に比べ少なく、エッチングレートが少なくなり中高のエッチングレート分となり、低い周波数の高周波電力では磁場の影響が少ないため、装置特性によるエッチングレート分布、すなわち、中高のエッチングレート分布になると考えられる。なお、エッチングレート分布には、ウエハ面内の温度制御も影響するが、ここの考察では考慮しない。
 上述したように、本実施例によれば、低い周波数(400KHz)の高周波電力と高い周波数(13.56MHz)の高周波電力の出力時間の割合を変化させることで、エッチングレート分布を外高から中高の分布の間で調整することでき、この間に図3(b)に示すようにエッチングレート分布がほぼ均一な条件、すなわち、低い周波数と高い周波数の高周波電力の出力時間の割合を設定することで、ウエハ面内のエッチングレートの均一化を図ることができる。このように、低い周波数の高周波電力と高い周波数の高周波電力の出力を交互に切り替え、それぞれの電力の出力時間の割合を制御可能とした構成にすることで、ウエハへのイオンの入射の制御性をより向上させることができる。
 また、本実施例では、2つの異なる周波数の高周波電力を試料台109に供給しているが、一方のバイアス電源から高周波電力が供給されているときは、他方のバイアス電源からの高周波電力の供給が停止されているため、他方のバイアス電源から電流が試料台109に流れ込むことがない。このため、クロストークの発生を防止でき、安定してバイアス用の高周波電力を試料台109に供給することができる。
 なお、この場合、バイアス電源として、13.56MHzの高周波電源と400KHzの高周波電源とを用いたが、異なる周波数の選択に当たっては、プラズマ中のイオンが追従できる周波数であり、インピーダンスの差が大きくなる周波数を選択するのが良い。また、低い周波数と高い周波数の高周波電力の切り替えはどちらが先になっても良い。
 また、図2に示すバイアス電源の出力例は、低い周波数および高い周波数の高周波電源ともにその出力電圧(Vpp)を同じにしているが、図5に示すように更に低い周波数の高周波電源の出力電圧(Vpp1)と高い周波数の高周波電源の出力電圧(Vpp2)とを異なる値に設定できる。この場合、Vpp1>Vpp2としているが、これは逆の設定もできる。
 以上、本実施例によれば、1周期内における第1バイアス電源と第2バイアス電源の各々の出力の割合を変え、交互に切り替えて周期的に試料台に印加することにより、ウエハ面内のエッチングレート分布を制御することができ、ウエハ面内の均一性を向上させることができる。また、これによりウエハ面内のエッチング形状の分布制御も可能となる。
 また、図2および図5のように異なる周波数の高周波電源の切り替えを行うことで、バイアス用の高周波電力が印加される試料台の電気的許容範囲において、許容される最大の電力をそれぞれの高周波電源から出力可能であり、処理に応じた設定の自由度が向上する。
 なお、上述の実施例では、図2および図5に示すようにバイアス電源の出力を、低い周波数の高周波電力と高い周波数の高周波電力の切り替えを時間が重なることなく連続的に切り替えているが、図6に示すように切り替えの際に混合領域を設けても良い。混合領域における切り替えの際のそれぞれの高周波電源からの出力は、図7(a)に示すように先の高周波電源の出力を徐々に下げるとともに後の高周波電源の出力を徐々に上げる、
 また、図7(b)に示すように先の高周波電源の出力を段階的に下げるとともに後の高周波電源の出力を段階的に上げる。なお、混合領域におけるそれぞれの高周波電源からの出力は、それぞれの出力の和(合計)が、許容される最大値を超えないようにする必要がある。また、低い周波数の高周波電力と高い周波数の高周波電力のそれぞれの整合は、混合領域以外の期間に行うことが望ましい。このようにすることにより、低い周波数の高周波電力と高い周波数の高周波電力のそれぞれの整合を安定して行うことができる。次に本発明の第2の実施例を図8ないし図12により説明する。
 図8にプラズマ処理装置の構成を示す。図8において図1と同符号は同一部材を示し説明を省略する。本図において、図1の装置と異なる点は、プラズマ電源およびバイアス電源の出力を検出して、プラズマ電源の出力を間欠的に時間変調する場合に、バイアス電源の出力を同期させるようそれぞれの出力タイミングを制御可能にした点である。プラズマ電源105用の整合器104にはVpp検出器301が接続され、プラズマ電源105の出力の立ち上がりをVpp検出器301で検出し、検出信号をトリガー信号302として出力検出部305に送信する。
 試料台109にはVpp検出器303が接続され、第1バイアス電源113および第2バイアス電源114の出力の立ち上がりをVpp検出器303で検出し、検出信号をトリガー信号304として出力検出部305に送信する。出力検出部305では、各Vpp検出器301および303から送信された図9に示すトリガー信号302および304の時間差(t)を算出し、時間差信号306として出力制御部307に送信する。出力制御部307では、受信した時間差信号306を基に時間差(t)を補正し、制御装置115’によって制御するプラズマ電源105と第1バイアス電源113および第2バイアス電源114との出力を同期させる。
 なお、この場合は、制御装置115’内に出力検出部305および出力制御部307を組み込んでいるが、これらを制御装置とは分け、出力制御部307で補正した値をそれぞれの補正信号としてプラズマ電源105、第1バイアス電源113および第2バイアス電源114に送信し、フィードバックさせて第1バイアス電源113および第2バイアス電源114の出力と、プラズマ電源105の出力とを同期させるようにしても良い。
 上述構成の装置におけるプラズマ電源105および第1,第2バイアス電源113,114の出力制御は、例えば、図10に示すように行われる。図10(a)は図2(b)と同様の出力状態を示し、前述の一実施例と同様の制御も可能であり、低い周波数の高周波電力の供給(時間t(b))の後に高い周波数の高周波電力の供給(時間t(c))が行われ、時間t(a)を1周期としてこれが繰り返される。
 図10(b)はプラズマ用の高周波電力を連続とし、バイアス用の高周波電力をデューティー比制御し、間欠的に交互に出力する場合を示す。この場合、第1および第2バイアス電源113,114の出力をそれぞれデューティー比50%で制御し、低い周波数の高周波電力の供給(時間t(d))の後に電力供給停止期間を設け、高い周波数の高周波電力の供給(時間t(e))の後に電力供給停止期間が設けて、時間t(a)を1周期としてこれが繰り返される。
 図10(c)はプラズマ用の高周波電力とバイアス用の高周波電力の出力を同じデューティー比で制御し、間欠的に出力制御する場合を示す。この場合、一方のプラズマ生成用の高周波電力は時間(b)を1周期とし、デューティー比50%で時間t(d)の間、電力が供給され、間欠的な放電、すなわち、パルス放電が行われる。また、この場合、時間t(b)と時間t(c)は等しく、時間t(d)と時間t(e)は等しい。他方、第1および第2バイアス電源113,114の出力は図10(b)と同様に行われ、時間t(a)を1周期としてこれが繰り返される。
 すなわち、プラズマ電源の出力の繰り返し周波数は、バイアス電源の出力の繰り返し周波数の倍であり、プラズマ生成用の高周波電力の出力に同期して、バイアス用の低い周波数の高周波電力の供給(時間t(d))と高い周波数の高周波電力の供給(時間t(e))が行われる。
 図10に示すように出力制御されたプラズマ用の高周波電力とバイアス用の低い周波数および高い周波数の高周波電力によるエッチング処理では、この場合、図11に示すようなエッチングレートの分布となる。なお、図11に示すウエハのエッチングレート分布は、前述の一実施例と同様に、図4に示す積層構造のウエハをエッチング処理したときのものである。図11(a)は図10(a)の制御に対応したもので、図3(b)と同様にウエハ面内でエッチングレートがほぼ均一な分布となっている。また、このときのPoly-Siエッチングレートは51.6nm/min、SiO2エッチレートは1.7nm/minで、Poly-Si/SiO2の選択比は30.4であった。
 図11(b)は図10(b)の制御に対応したもので、バイアス用の高周波電力の出力をそれぞれデューティー比50%でオンオフ制御しており、ウエハ面内でエッチングレートがほぼ均一な分布が得られた。また、図10(b)の制御では、図10(a)の出力制御の場合(図11(a))に比べ、図11(b)に示すようにPoly-Siエッチングレートは51.6nm/minから45.2nm/minに、またSiO2エッチレートは1.7nm/minから0.9nm/minに低下したが、Poly-Si/SiO2の選択比は30.4から50.2に向上し高い選択比を得ることができた。
 図11(c)は図10(c)の制御に対応したもので、プラズマ生成用の高周波電力およびバイアス用の高周波電力の出力をそれぞれデューティー比50%でオンオフ制御しており、図11(b)と同様にウエハ面内でエッチングレートがほぼ均一な分布が得られた。また、図10(c)の制御では、図10(b)の出力制御の場合(図11(b))と比べ、図11(c)に示すようにPoly-Siエッチングレートは45.2nm/minから30.2nm/minに、またSiO2エッチレートは0.9nm/minから0.6nm/minに低下したものの、Poly-Si/SiO2の選択比は50.3であり同様に高い選択比を得ることができた。
 また、図12に図10(b)および図10(c)に示す制御によってウエハをエッチング処理したときのエッチング形状を示す。ここで、図12(a)は前述の図4に示す積層構造のウエハに疎密パターが形成されたエッチング前の初期形状を示す。図12(b)は図10(b)の出力制御における処理後のエッチング形状を示し、図10(b)のように制御、すなわち、連続放電状態でバイアス用の高周波電力のみオンオフ制御する場合には、密部のPoly-Si膜203は垂直にエッチングされるが、疎部のPoly-Si膜203はテーパ状の形状となった。
 図12(c)は図10(c)の出力制御における処理後のエッチング形状を示し、図10(c)のように制御、すなわち、プラズマ生成用の高周波電力およびバイアス用の高周波電力を同期させてオンオフ制御する場合には、密部のPoly-Si膜203は垂直にエッチングされ、疎部のPoly-Si膜203も実質的に垂直にエッチングされた。
 このように、図10(b)および図10(c)に示す制御の場合、上述のように図10(c)の制御の方がエッチングレートは低下するという結果になったが、エッチング形状においては、バイアス用の高周波電力のオンオフ制御のみの場合に比べ、プラズマ生成用の高周波電力およびバイアス用の高周波電力を同期させてオンオフ制御する方が、疎密パターンを有するウエハのエッチング処理においては、エッチング形状の面内均一性が得られ有効であった。これは、図10(c)のようにプラズマの生成にパルス放電を用いることによりプラズマの解離度を制御でき、プラズマ中の堆積性種の発生を抑制して疎密部のエッチング側壁面に供給される堆積性種の量を最適にできるので、疎密部で許容し得る実質的な垂直形状のエッチング処理が可能になると考えられる。
 なお、図10(b)および図10(c)にはプラズマ生成用または/およびバイアス用のそれぞれの高周波電力をデューティー比50%でオンオフ制御した例を示したが、処理対象の被エッチング材の材質や構造に応じて最適なオン時のデューティー比を変更、すなわち、時間t(b)に占める時間t(d)の割合および時間t(c)に占める時間t(e)の割合を任意に変更可能なことは言うまでもない。
 また、図10(b)ではバイアス用の高低それぞれの周波数の高周波電力を間欠的にオンオフ制御するようにデューティー比を設定しているが、高低いずれかの周波数の高周波電力のデューティー比を100%とし、他方の高周波電力のみ間欠的にオンオフ制御することもできる。また、図10(c)ではプラズマ生成用およびバイアス用のそれぞれの高周波電力のオンオフタイミングを実質的に同時制御しているが、プラズマ生成用の高周波電力のオン時間内でバイアス用の高周波電力のオンオフを行えば、バイアス用の高周波電力のオンオフタイミングはこれに限られない。
 以上、第2の実施例によれば、前述の一実施例と同様にエッチングレートの面内分布を調整でき、エッチングレートの面内分布の均一化を図ることができる。また、高周波電力をオンオフする時間変調を加えることにより、エッチング形状の面内均一性も向上させることができる。このように、低い周波数の高周波電力と高い周波数の高周波電力の出力を交互に切り替え、それぞれの電力の出力時間の割合を制御可能とした構成を用いることで、ウエハへのイオンの入射の制御性をより向上させることができる。
 上述の第2の実施例においては、図10(c)は、プラズマ生成用の高周波電力のオンオフを1周期とする時間t(b)および時間t(c)を等しくし、時間(b)と時間(c)の和がバイアス用の低い周波数と高い周波数の高周波電力の切り替えが行われる1周期の時間t(a)に等しい例として述べたが、図13ないし図15に示すようにバイアス用の低い周波数と高い周波数の高周波電力の切り替えが行われる1周期の時間t(a)内で、プラズマ生成用の高周波電力をオンする時間を時間t(f)とし、時間t(f)の間にバイアス用の低い周波数と高い周波数の高周波電力の切り替えを行うようにしても良い。
 図13は、1周期の時間(a)内で低い周波数の高周波電力の出力時間t(b)と高い周波数の高周波電力の出力時間t(c)と割合を設定し、それぞれの時間t(b)およびt(c)内での高周波電力の出力がオンする時間t(d)およびt(e)を設定(またはオン時間のデューティー比を設定)し、バイアス用の低い周波数の高周波電力の出力時間t(b)と高い周波数の高周波電力のオン時間t(e)との合計時間を、プラズマが生成されるプラズマ生成用の高周波電力のオン時間t(f)に等しくした例である。
 図14は、1周期の時間(a)内でプラズマ生成用の高周波電力のオン時間t(f)を設定(またはオン時間のデューティー比を設定)し、時間t(f)内においてバイアス用の低い周波数の高周波電力の出力時間t(g)と高い周波数の高周波電力の出力時間t(h)との割合を設定(またはそれぞれの出力時間t(g)およびt(h)を設定)した例である。この場合、1周期の時間t(a)内におけるプラズマ生成用の高周波電力のオフされた時間は、バイアス用の高周波電力もオフされた時間となる。
 図15は、図14におけるバイアス用の低い周波数の高周波電力の出力時間t(g)と高い周波数の高周波電力の出力時間t(h)において、それぞれの高周波電力のオン時間t(i)およびt(k)を設定(またはそれぞれのオン時間のディーティー比を設定)した例である。
 また、上述のこれら実施例は、ウエハの全面に対応する試料台に第1,第2バイアス電源113,114を接続し、ウエハの全面に対しバイアス用高周波電力が作用するようにしているが、試料台を複数領域に分け、例えば、径方向,周方向またはこれらを組合せた各領域毎に第1,第2バイアス電源113,114を接続し、領域毎に異なる条件でバイアス制御を行うようにしても良い。この場合のバイアス電源は、(1)複数組を設けて一組毎に独立に制御するものでも良いし、(2)一組のバイアス電源から各領域に並列に接続し、一組のバイアス電源から常に出力されている高周波電力を各領域毎に出力時間の割合を変えて出力制御可能な制御回路を介して、領域毎に条件を変えて制御するものでも良い。
 さらに、上述のこれら実施例は、有磁場マイクロ波プラズマ装置に適用した例で説明したが、プラズマ処理装置としてはこれに限られるものではなく、誘導結合タイプまたは容量結合タイプのプラズマ処理装置にも適用できることは言うまでもない。
 また、磁場を有するまたは磁場を用いないこれらのプラズマ処理装置にも適用可能である。なお、有磁場マイクロ波プラズマ装置のように磁場を用いた電子サイクロトロン共鳴(ECR)によるプラズマ生成においては、プラズマ生成とバイアス制御とを独立に制御し易いが、磁場を用いない装置では、バイアス用の高周波電源の周波数によっては、特に、13.56MHz以上の周波数を用いる場合には、プラズマの生成に影響を与える可能性があるので、プラズマ生成に大きな影響が出ない程度の出力を用いる等の考慮が必要である。
101 真空容器
102 天板
103 導波管
104 整合器
105 プラズマ電源
106 ソレノイドコイル
107 ガス供給装置
108 シャワープレート
109 試料台
110 フィルター
111 第1整合器
112 第2整合器
113 第1バイアス電源
114 第2バイアス電源
115、115’ 制御装置
201 Si基板
202 SiO
203 Poly-Si膜
204 マスク膜
301、303 Vpp検出器
302、304 トリガー信号
305 出力検出部
306 時間差信号
307 出力制御部

Claims (18)

  1.  プラズマ生成用の高周波電力によって処理室内に供給される処理ガスをプラズマ化するとともに試料が配置される試料台に異なる周波数の高周波バイアス電力を印加し、前記プラズマの生成と前記試料へのイオンの入射エネルギー制御とを独立に行い、前記処理室内で前記試料をプラズマ処理する方法において、
     前記プラズマは、連続的に供給される電力により生成される連続放電またはデューティー比設定され間欠的に供給される電力により生成されるパルス放電とし、
     前記プラズマが生成されているときに前記試料台に少なくとも2つの異なる周波数のバイアス電力を切り替え交互に繰り返し印加することを特徴とするプラズマ処理方法。
  2.  請求項1に記載のプラズマ処理方法において、
     前記2つの異なる周波数のバイアス電力の切り替えを周期的に行い、1周期内で一方のバイアス電力から他方のバイアス電力に切り替え、前記1周期内での前記一方および他方のバイアス電力の出力時間をそれぞれ設定可能にしたプラズマ処理方法。
  3.  請求項1に記載のプラズマ処理方法において、
     前記2つの異なる周波数のバイアス電力の出力値を同じにしたプラズマ処理方法。
  4.  請求項1に記載のプラズマ処理方法において、
     前記2つの異なる周波数のバイアス電力の出力値が異なるプラズマ処理方法。
  5.  請求項1に記載のプラズマ処理方法において、
     前記プラズマの生成をパルス放電とし、パルスの発生に同期させパルス毎に異なる周波数のバイアス電力に切り替えるプラズマ処理方法。
  6.  請求項1に記載のプラズマ処理方法において、
     前記プラズマの生成をパルス放電とし、1つのパルスでプラズマを生成している間に異なる周波数のバイアス電力を切り替えるプラズマ処理方法。
  7.  プラズマを用いて試料台に載置された試料を処理するプラズマ処理方法において、
     第一の高周波電力および前記第一の高周波電力の周波数と異なる周波数の第二の高周波電力を周期的に切り替えながら前記試料台に供給することを特徴とするプラズマ処理方法。
  8.  請求項7に記載のプラズマ処理方法において、
     前記第一の高周波電力を前記試料台へ供給する時間と前記第二の高周波電力を前記試料台へ供給する時間との比をステップまたは前記ステップの集合体であるプラズマ処理条件に基づいて規定することを特徴とするプラズマ処理方法。
  9.  請求項8に記載のプラズマ処理方法において、
     前記試料台に供給されている高周波電力の整合を前記第一の高周波電力と前記第二の高周波電力が重畳していない期間に行うことを特徴とするプラズマ処理方法。
  10.  請求項8に記載のプラズマ処理方法において、
     前記第一の高周波電力と前記第二の高周波電力を切り替える時、前記第一の高周波電力と前記第二の高周波電力を重畳させることを特徴とするプラズマ処理方法。
  11.  請求項8に記載のプラズマ処理方法において、
     前記第一の高周波電力または前記第二の高周波電力を時間変調することを特徴とするプラズマ処理方法。
  12.  請求項8に記載のプラズマ処理方法において、
     前記プラズマを生成するための高周波電力を時間変調することを特徴とするプラズマ処理方法。
  13.  請求項12に記載のプラズマ処理方法において、
     前記第一の高周波電力および前記第二の高周波電力を時間変調し、
     前記第一の高周波電力を前記試料台へ供給する時間は、前記第二の高周波電力を前記試料台へ供給する時間と同じであって、
     前記高周波電力の時間変調の周期と前記第一の高周波電力の時間変調の周期と前記第二の高周波電力の時間変調の周期は、全て同じ周期であることを特徴とするプラズマ処理方法。
  14.  請求項8に記載のプラズマ処理方法において、
     前記第一の高周波電力の値と前記第二の高周波電力の値を異ならせることを特徴とするプラズマ処理方法。
  15.  試料台をその内部に有し処理ガスが供給されると共に所望の圧力に減圧排気される処理室と、前記処理室に結合され処理室内に供給される前記処理ガスをプラズマ化するプラズマ生成用電源と、前記試料台に接続され異なる周波数のバイアス電力を供給するバイアス用電源とを有し、プラズマ生成用電源によるプラズマの生成と前記バイアス用電源による前記試料台に配置される試料へのイオンの入射エネルギー制御とを独立に行い、前記試料をプラズマ処理するプラズマ処理装置において、
     前記プラズマ生成用電源は、前記プラズマを連続放電させる電力の供給と、前記プラズマをパルス放電させる電力の供給とを設定可能であり、
     前記バイアス電源は、異なる周波数のバイアス電力を出力する少なくとも2つの電源から成り、
     前記プラズマ生成用電源によるプラズマの生成のとき、前記異なる周波数のバイアス電力を切り替えて前記試料台に交互に繰り返し供給するように前記バイアス電源を制御する制御装置を具備したことを特徴とするプラズマ処理装置。
  16.  請求項15に記載のプラズマ処理装置において、
     前記制御装置は、前記プラズマを連続で生成するように前記プラズマ生成用電源を制御し、前記プラズマが生成されている間に前記異なる周波数のバイアス電源を交互に間欠的に制御するプラズマ処理装置。
  17.  請求項15に記載のプラズマ処理装置において、
     前記制御装置は、前記プラズマをパルス放電させるように前記プラズマ生成用電源を制御し、前記プラズマのオンに合わせ前記異なる周波数のバイアス電源を交互に切替制御するプラズマ処理装置。
  18.  請求項15に記載のプラズマ処理装置において、
     前記制御装置は、前記プラズマをパルス放電させるように前記プラズマ生成用電源を制御し、前記プラズマのオンの間に前記異なる周波数のバイアス電源を交互に切替制御するプラズマ処理装置。
PCT/JP2016/082508 2016-01-18 2016-11-02 プラズマ処理方法およびプラズマ処理装置 WO2017126184A1 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1020197034086A KR102145815B1 (ko) 2016-01-18 2016-11-02 플라스마 처리 방법 및 플라스마 처리 장치
KR1020177020322A KR102124407B1 (ko) 2016-01-18 2016-11-02 플라스마 처리 방법 및 플라스마 처리 장치
JP2017562440A JP6548748B2 (ja) 2016-01-18 2016-11-02 プラズマ処理方法およびプラズマ処理装置
US15/556,455 US10090162B2 (en) 2016-01-18 2016-11-02 Plasma processing method and plasma processing device
TW106101699A TWI689986B (zh) 2016-01-18 2017-01-18 電漿處理方法及電漿處理裝置
TW108145363A TWI711085B (zh) 2016-01-18 2017-01-18 電漿處理方法及電漿處理裝置
US16/111,853 US20180366335A1 (en) 2016-01-18 2018-08-24 Plasma processing method and plasma processing device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-006752 2016-01-18
JP2016006752 2016-01-18

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/556,455 A-371-Of-International US10090162B2 (en) 2016-01-18 2016-11-02 Plasma processing method and plasma processing device
US16/111,853 Continuation US20180366335A1 (en) 2016-01-18 2018-08-24 Plasma processing method and plasma processing device

Publications (1)

Publication Number Publication Date
WO2017126184A1 true WO2017126184A1 (ja) 2017-07-27

Family

ID=59362698

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2016/082508 WO2017126184A1 (ja) 2016-01-18 2016-11-02 プラズマ処理方法およびプラズマ処理装置

Country Status (5)

Country Link
US (2) US10090162B2 (ja)
JP (1) JP6548748B2 (ja)
KR (2) KR102124407B1 (ja)
TW (2) TWI689986B (ja)
WO (1) WO2017126184A1 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019099937A1 (en) * 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019244697A1 (ja) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
US10707055B2 (en) 2017-11-17 2020-07-07 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US11011349B2 (en) 2009-05-01 2021-05-18 Aes Global Holdings, Pte. Ltd. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US11189454B2 (en) 2012-08-28 2021-11-30 Aes Global Holdings, Pte. Ltd. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR20220031988A (ko) 2020-09-02 2022-03-15 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
WO2022259868A1 (ja) * 2021-06-08 2022-12-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
WO2023223866A1 (ja) * 2022-05-19 2023-11-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180077392A (ko) * 2016-12-28 2018-07-09 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조 방법
KR102475069B1 (ko) * 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
JP6997642B2 (ja) * 2018-01-30 2022-01-17 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7101096B2 (ja) * 2018-10-12 2022-07-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7061981B2 (ja) 2019-03-28 2022-05-02 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
CN111916327B (zh) * 2019-05-10 2023-04-28 中微半导体设备(上海)股份有限公司 多频率多阶段的等离子体射频输出的方法及其装置
JP7285742B2 (ja) * 2019-09-02 2023-06-02 東京エレクトロン株式会社 プラズマ処理装置及び処理方法
JP7285377B2 (ja) * 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
WO2022177846A1 (en) * 2021-02-22 2022-08-25 Advanced Energy Industries, Inc. Integrated control of a plasma processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007509506A (ja) * 2003-10-21 2007-04-12 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重法及びrfバイアス変調を用いた高アスペクトsoi構造の無ノッチエッチング
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10150025A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
JP2000150196A (ja) 1999-01-01 2000-05-30 Hitachi Ltd プラズマ処理方法およびその装置
CN100492598C (zh) 2003-10-21 2009-05-27 优利讯美国有限公司 使用交替淀积/蚀刻工序蚀刻衬底中特征的方法和设备
JP4515755B2 (ja) * 2003-12-24 2010-08-04 東京エレクトロン株式会社 処理装置
JP5014166B2 (ja) 2007-02-13 2012-08-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP2011192664A (ja) 2010-03-11 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5571996B2 (ja) 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007509506A (ja) * 2003-10-21 2007-04-12 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重法及びrfバイアス変調を用いた高アスペクトsoi構造の無ノッチエッチング
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011349B2 (en) 2009-05-01 2021-05-18 Aes Global Holdings, Pte. Ltd. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US11189454B2 (en) 2012-08-28 2021-11-30 Aes Global Holdings, Pte. Ltd. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US10811228B2 (en) 2017-11-17 2020-10-20 Advanced Energy Industries, Inc. Control of plasma processing systems that include plasma modulating supplies
TWI767088B (zh) * 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
CN111788654A (zh) * 2017-11-17 2020-10-16 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
WO2019099937A1 (en) * 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US10811227B2 (en) 2017-11-17 2020-10-20 Advanced Energy Industries, Inc. Application of modulating supplies in a plasma processing system
US10811229B2 (en) 2017-11-17 2020-10-20 Advanced Energy Industries, Inc. Synchronization with a bias supply in a plasma processing system
US10896807B2 (en) 2017-11-17 2021-01-19 Advanced Energy Industries, Inc. Synchronization between an excitation source and a substrate bias supply
JP2021503702A (ja) * 2017-11-17 2021-02-12 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理システムにおける変調供給源の改良された印加
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
JP7432781B2 (ja) 2017-11-17 2024-02-16 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
US11842884B2 (en) 2017-11-17 2023-12-12 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US10707055B2 (en) 2017-11-17 2020-07-07 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) * 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
WO2019244697A1 (ja) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2019220650A (ja) * 2018-06-22 2019-12-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
KR20220031988A (ko) 2020-09-02 2022-03-15 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
WO2022259868A1 (ja) * 2021-06-08 2022-12-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2023223866A1 (ja) * 2022-05-19 2023-11-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Also Published As

Publication number Publication date
KR102145815B1 (ko) 2020-08-19
KR20170101251A (ko) 2017-09-05
KR20190131616A (ko) 2019-11-26
US20180366335A1 (en) 2018-12-20
KR102124407B1 (ko) 2020-06-18
US20180047573A1 (en) 2018-02-15
JP6548748B2 (ja) 2019-07-24
TWI689986B (zh) 2020-04-01
US10090162B2 (en) 2018-10-02
TW202017043A (zh) 2020-05-01
TW201737338A (zh) 2017-10-16
JPWO2017126184A1 (ja) 2018-03-15
TWI711085B (zh) 2020-11-21

Similar Documents

Publication Publication Date Title
WO2017126184A1 (ja) プラズマ処理方法およびプラズマ処理装置
US10811231B2 (en) Plasma processing apparatus and plasma processing method
US20200058469A1 (en) Systems and methods of control for plasma processing
US10121640B2 (en) Method and apparatus for plasma processing
JP6002556B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW202135128A (zh) 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
JP6643212B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR101702477B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
JP6491888B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI603368B (zh) Plasma processing apparatus and plasma processing method
TW201715562A (zh) 電漿處理裝置及電漿處理方法
US11062884B2 (en) Plasma processing apparatus and plasma processing method
TW201526099A (zh) 電漿處理裝置及電漿處理方法
JP6180890B2 (ja) プラズマ処理方法
JPH11219938A (ja) プラズマエッチング方法
JP2013214583A (ja) プラズマ処理装置およびプラズマ処理方法
US20230187174A1 (en) Plasma processing apparatus and plasma processing method
JP2012129429A (ja) プラズマ処理方法
JP2017147381A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 20177020322

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2017562440

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 15556455

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16886423

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16886423

Country of ref document: EP

Kind code of ref document: A1