JP2017147381A - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
JP2017147381A
JP2017147381A JP2016029463A JP2016029463A JP2017147381A JP 2017147381 A JP2017147381 A JP 2017147381A JP 2016029463 A JP2016029463 A JP 2016029463A JP 2016029463 A JP2016029463 A JP 2016029463A JP 2017147381 A JP2017147381 A JP 2017147381A
Authority
JP
Japan
Prior art keywords
gas
processing chamber
plasma
etching
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016029463A
Other languages
English (en)
Other versions
JP6523989B2 (ja
JP2017147381A5 (ja
Inventor
峻介 金澤
Shunsuke Kanazawa
峻介 金澤
康博 西森
Yasuhiro Nishimori
康博 西森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2016029463A priority Critical patent/JP6523989B2/ja
Priority to KR1020160175277A priority patent/KR101842526B1/ko
Priority to TW107111162A priority patent/TWI666702B/zh
Priority to TW106101872A priority patent/TWI627674B/zh
Priority to US15/434,562 priority patent/US9899241B2/en
Publication of JP2017147381A publication Critical patent/JP2017147381A/ja
Publication of JP2017147381A5 publication Critical patent/JP2017147381A5/ja
Application granted granted Critical
Publication of JP6523989B2 publication Critical patent/JP6523989B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】本発明は、安定性の高いステップ切り替えを検知することができるプラズマ処理方法を提供する。
【解決手段】本発明は、複数のステップにより試料をプラズマ処理するプラズマ処理方法において、一方のステップのガス供給を停止するとともに不活性ガスを供給する第一の工程と、前記第一の工程後、前記第一の工程の不活性ガスの供給を停止するとともに他方のステップのガスを供給する第二の工程とを有し、前記試料がプラズマ処理される処理室の内部に残留している前記一方のステップのガス量を前記第一の工程にて検知し、前記処理室の内部に到達した前記他方のステップのガス量を前記第二の工程にて検知し、前記第一の工程にて検知された一方のステップのガス量および前記第二の工程にて検知された他方のステップのガス量に基づいて前記一方のステップから前記他方のステップへ切り替えることを特徴とする。
【選択図】図3

Description

本発明は、プラズマ処理方法に係わり、特にプラズマ処理中にガスを入れ換えながら微細なプラズマ処理を行うプラズマ処理方法に関する。
近年の半導体技術の微細化や構造の複雑化により、半導体微細加工におけるエッチング工程では、アスペクト比の高い垂直形状加工を要求されている。これを実現するための方法として、様々な方法が知られているが、そのひとつに2つ又は3つ以上のプロセスを交互に繰り返してエッチングを進行させるサイクルエッチングが用いられている。例えば、エッチングと保護膜形成を交互に繰り返しながらエッチングを進行させる方法がある。
サイクルエッチングを構成する各プロセスは、ガス種、ガス流量、処理室内の圧力や電極基板への高周波電力など、各々の設定値を有している。各プロセスのガスの効果をより高めるためには、各プロセスで使用するガスの供給タイミングとバイアスを発生させるための電極基板へ印加する高周波電力を同期させなければならない。
ガスの処理室への導入量の制御は、質量流量コントローラ(以下、MFCと称する)に所望の流量を流すための制御信号を与えることにより一般に行われている。しかしながら、MFCに流量の信号を与えた後、処理室にガスが導入されるまでには、MFCの応答時間、ガス配管やシャワープレート、処理室内の圧力やガスの流れなどが影響して1秒程度の遅延が発生する。また、その遅延は、0.2〜0.3秒ほどのばらつきをもっている。
そのため、MFCへの制御信号を与えてからガスが実際に処理室に導入されるまでの遅延を考慮して電極への高周波電力印加の時間の制御を行わないと、処理室内でエッチングまたは保護膜形成を行うプラズマが生成されているタイミングと、それぞれのプロセスに適したバイアスが発生するタイミングに無視できない時間のずれが生じ、最適な処理が実現されなくなる。
ガスの供給タイミングとバイアスを発生させるための電極基板へ印加する高周波電力を同期させる方法としては、エッチングガスと保護膜形成ガスの入れ変わり時間を発光スペクトル・質量分析計を用いてガス濃度を検知することにより求め、高周波電力を同期させる方法が特許文献1に開示されている。
特開2013−58749
しかしながら上記従来技術では、以下の点についての考慮が十分なされていなかった。
特許文献1に記載された技術は、処理室内に第1のプロセスガスを導入し、上記ガスの発光スペクトルやガス濃度を検知した後、対応する第1の高周波バイアス電力を印加する。この場合、第1の高周波バイアス電力を印加する時点で、第1のプロセスガスが処理室内に充填されていないため、最適な第1のプロセス処理が実施されない。
また、特許文献1では、急速交互プロセスを開始させる場合、第2のプロセスガスを導入し、上記ガスの発光スペクトルやガス濃度を検知した後、対応する第2のバイアス電力を印加する。この場合、第2のプロセスガスを検知した時点では、処理室内に第1のプロセスガスが残留しているため、第1と第2のプロセスガスの反応により予期しないエッチングが生じる。また、MFCに流量の信号を与えてから処理室にガスが導入されるまでの遅延時間は、0.2〜0.3秒のばらつきを有しているため、ステップ切り換え毎にばらつきが生じ、安定性の高いステップ切り替えの検知を実現できない。
このようなことを鑑みて本発明は、プラズマ処理中にガスを入れ換えながらプラズマ処理を行うプラズマ処理方法において、安定性の高いステップ切り替えを検知することができるプラズマ処理方法を提供する。
本発明は、プラズマ処理条件を構成する複数のステップにより試料をプラズマ処理するプラズマ処理方法において、一方のステップのガス供給を停止するとともに不活性ガスを供給する第一の工程と、前記第一の工程後、前記第一の工程の不活性ガスの供給を停止するとともに他方のステップのガスを供給する第二の工程とを有し、前記試料がプラズマ処理される処理室の内部に残留している前記一方のステップのガス量を前記第一の工程にて検知し、前記処理室の内部に到達した前記他方のステップのガス量を前記第二の工程にて検知し、前記第一の工程にて検知された一方のステップのガス量および前記第二の工程にて検知された他方のステップのガス量に基づいて前記一方のステップから前記他方のステップへ切り替えることを特徴とする。
本発明により、プラズマ処理中にガスを入れ換えながらプラズマ処理を行うプラズマ処理方法において、安定性の高いステップ切り替えを検知することができる。
本発明の実施例に関わるプラズマ処理装置の構成を説明する縦断面図である。 サイクルエッチングを示すフローチャートである。 ガスの入れ替えをプラズマ発光の変化により検知する場合のシーケンス図である。 ガスの入れ替えをRFバイアス電力のピーク to ピーク電圧の変化により検知する場合のシーケンス図である。
以下、本発明の実施形態を図面を用いて説明する。最初に本実施例で使用するマイクロ波ECR(Electron Cyclotron Resonance)エッチング装置について図1を用いて説明する。
上部が開放された真空容器101の上部に真空容器101内にエッチングガスを封入するための石英製の誘電体窓103を設置することにより、処理室104を形成する。真空容器101の上部には、真空容器101内にエッチングガスを導入するための石英製のシャワープレート102を設置し、シャワープレート102にはガス配管117を介してエッチングガスを流すための質量流量コントローラ(Mass Flow Controller:MFC)105が接続される。また、真空容器101には、真空排気口106を介して真空排気装置108が接続されている。
プラズマ生成装置として、第一の高周波電源109が設置される。プラズマを生成するための高周波電力を処理室104に伝送するため、誘電体窓103の上方に導波管107が設けられる。処理室104の外周部には、磁場を形成するための磁場発生コイル110が設けてあり、第一の高周波電源109より発振された高周波電力は、磁場発生コイル110により形成された磁場との相互作用により処理室104内に高密度プラズマを生成する。
また、誘電体窓103に対向して真空容器101の下部に試料であるウエハ112が載置される電極111が設けられる。試料台である電極111の表面は、溶射膜(図示省略)で被覆されており、高周波フィルター115を介して直流電源116が接続されている。
また、電極111には、マッチング回路113を介して第二の高周波電源114が接続される。電極111上にウエハ112が載せられた状態で、この高周波バイアス電源114から供給された高周波電力(以下、RFバイアス電力と称する。)によりウエハ表面にバイアス電位が形成され、電極111上方の処理室104内に生成されるプラズマとの間の電位差によりイオンをウエハ上面に引き込む。尚、第二の高周波電源114から供給されたRFバイアス電力によるウエハ112に発生するピーク トウ ピーク電圧を以下、Vppと称する。また、「ピーク トウ ピーク電圧」は、「ピーク間電圧」と同義語とする。
次に上述したマイクロ波ECRエッチング装置を用いた本発明の実施例について以下に説明する。
図2は、本発明に係るサイクルエッチングのフローチャートである。図2では、サイクルエッチングを構成する第1および第2のプロセスステップを保護膜形成ステップおよびエッチングステップとし、各処理ステップの間に真空処理室内の被処理基板をエッチングせず かつ プラズマ放電を継続可能なガスを導入してプラズマ放電を継続する移行ステップを挿入している。具体的に、保護膜形成ステップはS1〜S3、移行ステップは、S4〜S5およびS9〜S10、エッチングステップはS6〜S8で示される。また、保護形成ステップまたは、エッチングステップは、それぞれ、プラズマ処理条件を構成する各ステップのことである。言い換えると、プラズマ処理条件は、一つのステップであり、または複数のステップの集合体である。以下に、図2のフローチャートについて説明する。
始めに保護膜形成ステップで使用する保護膜形成ガスの供給を開始するようMFCに制御信号を伝え、保護膜形成ガスを処理室内に投入する(S1)。しかし、実際にはMFCに信号を与えたあと、処理室にガスが到達するまでには、MFCの応答時間、ガス配管やシャワープレート、処理室内の圧力やガスの流れなどが影響し1秒程度の遅延が発生する。この遅延後、保護膜形成ガスが処理室内に供給され、処理室内へ到達したことを検知した後(S2)、保護膜形成プロセスを開始する。
保護膜形成プロセスでは、RFバイアス電力およびその他のパラメータを適用する(S3)。保護膜形成プロセスが進行した後、処理室内にステップ移行ガスを導入する(S4)。1秒程度の遅延後、ステップ移行ガスが処理室内に到達すると、処理室内のガスが保護膜形成ガスからステップ移行ガスに徐々に切り換わり、発光スペクトルやプラズマ密度が変化する。発光スペクトルやプラズマ密度が一定値となった時点で、処理室内のガスがステップ移行ガスで充填された、または、処理室内に残留している保護膜形成ガスが無くなったと判断し(S5)、次にエッチングステップで使用するエッチングガスを投入する(S6)。
1秒程度の遅延後、エッチングガスが処理室内に到達すると、処理室内のガスがステップ移行ガスからエッチングガスに徐々に切り換わり、発光スペクトルやプラズマ密度が変化する。発光スペクトルやプラズマ密度が一定値となった時点で、処理室内のガスがエッチングガスで充填されたと判断し(S7)、エッチングプロセスを開始する。エッチングプロセスでは、RFバイアスおよびその他のパラメータに切り替え、エッチングプロセスを進行させる(S8)。
エッチングプロセスが進行した後、処理室内に再びステップ移行ガスを導入する(S9)。1秒程度の遅延後、ステップ移行ガスが処理室内に到達し、ガスがエッチングガスからステップ移行ガスに徐々に切り換わり、発光スペクトルやプラズマ密度が変化する。発光スペクトルやプラズマ密度が一定値となった時点で、処理室内のガスがステップ移行ガスで充填された、または処理室内に残留しているエッチングガスが無くなったと判断する(S10)。
さらにエッチングが必要な場合はS1に戻り、S1〜S10のサイクルを再度実施して目標のエッチング深さに到達するまで行う。目標のエッチング深さまで到達した場合は、サイクルを終了する。本実施例では、保護膜形成プロセスからサイクルエッチを開始したが、エッチングステップまたは移行ステップから開始しても同様のシーケンスであり、同様の作用効果が得られる。
次に本発明に係わる、ガス充填完了の検知に基づいたステップの切り替えについて詳細に説明する。本発明の特徴は、処理室内にて前ステップで導入していたガスの残留ガスが完全に無くなり、後ステップのガスが処理室内に充填完了されたことを検知した後に、後ステップのRFバイアスおよびその他のパラメータに切り替えることで、処理室内のプロセスガスと高周波バイアス電力とを正確に同期させることができる点にある。
後ステップのガスが処理室内に充填完了されたことを検知する方法の例として、図3には、プラズマによる発光スペクトルにより検知する方法、または、図4にはVppの変動から検知する方法を示す。図3および図4は、図2における保護膜形成プロセス進行(S3)からエッチングプロセス進行(S8)に対応している。また、図3および図4では移行ステップのガスとしてアルゴンガスを使用している。
先ず、図3を用いてプラズマによる発光スペクトルによりガスの充填を検知する方法を説明する。破線301は、アルゴンガスのMFCの設定値である。破線303は、保護膜形成ガスまたはエッチングガスのMFCの設定値である。実線302および実線304は、それぞれ処理室内を流れるアルゴンガスおよび保護膜形成ガスもしくはエッチングガスの流量である。実線305は、印加するRFバイアス電力、実線306は、プラズマによる発光スペクトルである。
時刻T3−0に至るまでは保護膜形成ステップが進行していることを示す(S3)。保護膜形成ステップ進行後、時刻T3−0にて破線303に示すようにアルゴンガスを投入するようMFCに制御信号を伝え、同時に保護膜形成ガスの供給量も0(ml/min)とするようMFCに制御信号を伝える(破線301)。さらに、RFバイアス電力も0(W)に切り替える。RFバイアス電力は、信号を与えた直後に切り替わるが、MFCは信号を与えられた後、処理室にガスが到達するまでには、MFCの応答時間、ガス配管やシャワープレート、処理室内の圧力やガスの流れなどが影響して1秒程度の遅延が発生する。
この遅延のため、実線302に示すように時刻T3−0から時刻T3−1まで保護膜形成ガスは処理室内に一定量で流れている。時刻T3−1以降、処理室内に残留する保護膜形成ガス流量は減少し、後に0(ml/min)となる。一方、アルゴンガスは実線304に示すとおり、時刻T3−0でMFCに信号を与えたあと、遅延後の時刻T3−1以降に処理室内に流れ始め、後に一定量で処理室内に流れる。発光スペクトル306は、時刻T3−0から時刻T3−1にかけて変化し、時刻T3−1から時刻T3−2にかけてさらに変化する。
これは、時刻T3−0から時刻T3−1にかけてはRFバイアス電力の変化による反応生成物の影響、時刻T3−1から時刻T3−2にかけては処理室内に残留している保護膜形成ガス302が減少、アルゴンガス304が増加し、プラズマの密度が変化した影響と考えられる。後に発光スペクトル306が一定となった時刻T3−2からT3−3において処理室内のガスがアルゴンガスで充填されたと判断する。次に、この時刻T3−3にて破線303に示すようにエッチングガスを供給するようMFCに制御信号を伝える。同時に時刻T3−3にて、アルゴンガスの供給量も0(ml/min)とするようMFCに制御信号を伝える(破線301)。
1秒程度の遅延のため、実線302に示すように時刻T3−3でMFCに信号を与えたあと、時刻T3−4までアルゴンガスは処理室内に一定量で流れている。時刻T3−4以降、処理室内に残留するアルゴンガス流量は減少し、後に0(ml/min)となる。一方、エッチングガスは実線304に示すとおり、時刻T3−3でMFCに信号を与えたあと、遅延後の時刻T3−4以降、処理室内に流れ始め、後に一定量で処理室内に流れる。発光スペクトル306は、時刻T3−4まで一定値を示しているが、時刻T3−4から時刻T3−5にかけて変化する。
これは、処理室内に残留しているアルゴンガス302が減少、エッチングガス304が増加し、プラズマの密度が変化したためである。発光スペクトルが変動し、後に一定となった時刻T3−5からT3−6において処理室内のガスがエッチングガスで充填されたと判断する。この時刻T3−6にてエッチングプロセスのRFバイアス電力やその他のパラメータに切り替え、エッチングステップを開始する。
次に図4を用いてVppの変動によりガスの充填検知を行う方法を説明する。実線401はVppを示す。先ず図4では、時刻T3−0にてアルゴンガス301および保護膜形成ガス303のMFCに制御信号を伝え、RFバイアス電力を0(W)より大きい値に切り替える。時刻T3−0以降もRFバイアス電力が印加されているため、Vppは、0(V)より大きい値が得られる。遅延後、実線302および304に示すように、時刻T3−1から時刻T3−2にかけて処理室内に残留する保護膜形成ガス流量は減少し、一方アルゴンガスは増加する。
この時、Vpp401は、プラズマ密度の変化により変動する。その後、Vpp401が一定となった時刻T3−2からT3−3において処理室内のガスがアルゴンガスで充填されたと判断する。次に、この時刻T3−3にて破線303に示すようにエッチングガスを投入するようMFCに制御信号を伝える。同時に時刻T3−3にて、アルゴンガスの供給量も0(ml/min)とするようMFCに制御信号を伝える(破線301)。1秒程度の遅延のため、実線302に示すように時刻T3−3でMFCに信号を与えた後、時刻T3−4までアルゴンガスは処理室内に一定量で流れている。
時刻T3−4以降、処理室内に残留するアルゴンガス流量は減少し、後に0(ml/min)となる。一方、エッチングガスは実線304に示すとおり、時刻T3−3でMFCに信号を与えたあと、遅延後の時刻T3−4以降、処理室内に流れはじめ、後に一定量で処理室内に流れる。Vpp401は、時刻T3−2から時刻T3−4まで一定値を示しているが、時刻T3−4から時刻T3−5にかけて変化する。
これは、処理室内に残留しているアルゴンガス302が減少すると共にエッチングガス304が増加し、プラズマの密度が変化したためである。Vpp401が変動し、後に一定となった時刻T3−5からT3−6において、処理室内のガスがエッチングガスで充填されたと判断する。この時刻T3−6にてエッチングプロセスのRFバイアス電力やその他のパラメータに切り替え、エッチングステップを開始する。
本実施例では、発光スペクトルおよびVppの挙動から処理室内へのガスの充填を検知する方法を説明したが、その他にガス濃度や圧力等のプラズマに影響されるパラメータでも検知可能である。また、図3及び図4では、保護膜形成プロセス、移行ステップ、エッチングプロセスを順次行うシーケンスであるが、エッチングプロセス、移行ステップ、保護膜形成プロセスを順次行うシーケンスについても本発明を同様に適用である。また、図4における発光スペクトル306及びVpp402の挙動は、プロセスガスの種類や流量等に依るため、本発明は、図4の実線402の挙動(遅延時間等)に限定されるものではない。次に本発明の実施形態に係るプラズマ処理条件の具体例を示す。
先ず表1は、従来のサイクルエッチングの条件を示す表である。サイクルエッチング条件は、主に保護膜形成ステップとエッチングステップの2つから構成されている。ステップ1は、保護膜形成ステップとしてOガスを使用し、50WのRFバイアス電力を印加している。ステップ2は、エッチングステップとしてClガスを使用し、300WのRFバイアスを印加している。ステップ3以降は、更なるサイクルが必要な場合にステップ1に戻りサイクルエッチングを継続する。
Figure 2017147381

表2は、本発明に係るサイクルエッチングの条件を示す表である。表1と同様、サイクルエッチング条件は、主に保護膜形成ステップとエッチングステップから構成されている。本発明では、サイクルエッチングを構成する各プロセスステップ(保護膜形成ステップまたはエッチングステップ)の間に移行ステップを挿入しているが、移行ステップの時間は、プラズマ発光等によりガスの入れ替わりの検知により決定されるため、レシピ上で秒数設定はしない。そのため、表2では、サイクルエッチを構成するプロセスステップとは別に移行ステップの欄を設けており、これによりレシピ設定が容易となる。尚、本発明としては、予め求めたガスの入れ替わりを移行ステップの時間として設定しても良い。
Figure 2017147381

移行ステップとしてガスはArを使用し、RFバイアス電力は、被処理基板がエッチングされないように0Wを使用する。この移行ステップを設けた場合、必ず各プロセスステップ間に移行ステップが挿入されるように設定することで、本発明を実施できる。また、図4のようにVppの変動から処理室内のガスの入れ替わりを検知する場合は、移行ステップにRFバイアス電力を低電力で印加することで検知可能となる。
表2では、プロセスステップは保護膜形成ステップとエッチングステップから構成されているが、これに限定されるものではなく、2種類のエッチングステップから構成される場合もある。また、3種類以上のプロセスステップから構成されるサイクルエッチングも多々用いられている。移行ステップで使用するガスについては、被処理基板のエッチングされないことを確認するため、事前に試験等を行うと良い。また、移行ステップで使用するガス種は、1種類のみに限定せず、2種類以上のガスを使用しても良い。さらに本実施例での移行ステップは、Arガスを使用した例について説明したが、本発明としては、He、Xe、Kr、N等の不活性ガスであれば良い。
また、移行ステップのRFバイアス電力は0Wであれば、エッチングを最小限に抑えられるが、図4のように移行ステップでRFバイアス電力を印加する場合は、連続的に印加する方法に加え、時間変調された間欠的なRFバイアス電力を印加することもできる。これにより、被処理基板へのイオンの衝突時間は減少するため、エッチングされにくくなる。さらに、RFバイアス電力に加え、プラズマを生成するための高周波電力も時間変調し間欠的に印加することができる。これにより、プラズマ中のラジカル、イオンの数が減少し、さらに被処理基板はエッチングされにくくなる。
以上、本発明は、それぞれ異なるガスと処理条件を有する複数のプロセスステップおよび各処理ステップの間に真空処理室内の試料である被処理基板をエッチングせずかつプラズマ放電を継続可能なガスを導入してプラズマ放電を継続する移行ステップを設けたサイクルエッチングにおいて、前ステップで導入していたガスの残留ガスが無くなったことを検知し、後ステップのガスが処理室内に充填されたことを検知した後に、後ステップの高周波バイアス電力や他のパラメータに切り替える。
これにより、ガスを入れ換えながら行うプラズマ処理方法において、複数のプロセスステップのガスの混合がなく、且つ処理室内のプロセスガスと高周波バイアス電力とを正確に同期させることができるため、安定性よく形状制御性の高いプラズマエッチング処理を行うことが出来る。
以上の本実施例では、マイクロ波ECR放電を利用したエッチング装置を例に説明したが、有事開UHF放電、容量結合型放電、誘導結合型放電、マグネトロン放電、表面波励起放電またはトランスファー・カップルド放電等の他の放電を利用したプラズマエッチング装置においても本実施例と同様の作用効果がある。また、本実施例では、エッチング装置について述べたが、プラズマ処理を行うその他のプラズマ処理装置、例えばプラズマCVD(Chemical Vapor Deposition)装置またはプラズマPVD(Physical Vapor Deposition)装置についても本実施例と同様の作用効果がある。
101・・・真空容器
102・・・シャワープレート
103・・・誘電体窓
104・・・処理室
105・・・質量流量コントローラ
106・・・真空排気口
107・・・導波管
108・・・真空排気装置
109・・・第一の高周波電源
110・・・磁場発生コイル
111・・・電極
112・・・ウエハ
113・・・マッチング回路
114・・・第二の高周波電源
115・・・高周波フィルター
116・・・直流電源

Claims (6)

  1. プラズマ処理条件を構成する複数のステップにより試料をプラズマ処理するプラズマ処理方法において、
    一方のステップのガス供給を停止するとともに不活性ガスを供給する第一の工程と、
    前記第一の工程後、前記第一の工程の不活性ガスの供給を停止するとともに他方のステップのガスを供給する第二の工程とを有し、
    前記試料がプラズマ処理される処理室の内部に残留している前記一方のステップのガス量を前記第一の工程にて検知し、
    前記処理室の内部に到達した前記他方のステップのガス量を前記第二の工程にて検知し、
    前記第一の工程にて検知された一方のステップのガス量および前記第二の工程にて検知された他方のステップのガス量に基づいて前記一方のステップから前記他方のステップへ切り替えることを特徴とするプラズマ処理方法。
  2. 請求項1に記載のプラズマ処理方法において、
    前記処理室の内部に残留している前記一方のステップのガス量は、前記第一の工程のプラズマ発光の変化に基づいて検知され、
    前記処理室の内部に到達した前記他方のステップのガス量は、前記第二の工程のプラズマ発光の変化に基づいて検知されることを特徴とするプラズマ処理方法。
  3. 請求項1に記載のプラズマ処理方法において、
    前記第一の工程および前記第二の工程は、前記試料が載置される試料台に高周波電力が供給され、
    前記処理室の内部に残留している前記一方のステップのガス量は、前記第一の工程の前記高周波電力によるピーク間電圧の変化に基づいて検知され、
    前記処理室の内部に到達した前記他方のステップのガス量は、前記第二の工程の前記高周波電力によるピーク間電圧の変化に基づいて検知されることを特徴とするプラズマ処理方法。
  4. 請求項1に記載のプラズマ処理方法において、
    前記他方のステップのガスが前記処理室の内部に到達したことを検知した後、前記試料が載置される試料台に高周波電力を供給することを特徴とするプラズマ処理方法。
  5. 請求項1に記載のプラズマ処理方法において、
    前記第一の工程および前記第二の工程は、前記試料が載置される試料台に時間変調された高周波電力が供給されることを特徴とするプラズマ処理方法。
  6. 請求項5に記載のプラズマ処理方法において、
    前記第一の工程および前記第二の工程は、時間変調された高周波電力によりプラズマが生成されることを特徴とするプラズマ処理方法。
JP2016029463A 2016-02-19 2016-02-19 プラズマ処理方法及びプラズマ処理装置 Active JP6523989B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2016029463A JP6523989B2 (ja) 2016-02-19 2016-02-19 プラズマ処理方法及びプラズマ処理装置
KR1020160175277A KR101842526B1 (ko) 2016-02-19 2016-12-21 플라스마 처리 방법
TW107111162A TWI666702B (zh) 2016-02-19 2017-01-19 Plasma processing device
TW106101872A TWI627674B (zh) 2016-02-19 2017-01-19 電漿處理方法
US15/434,562 US9899241B2 (en) 2016-02-19 2017-02-16 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016029463A JP6523989B2 (ja) 2016-02-19 2016-02-19 プラズマ処理方法及びプラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2017147381A true JP2017147381A (ja) 2017-08-24
JP2017147381A5 JP2017147381A5 (ja) 2018-02-01
JP6523989B2 JP6523989B2 (ja) 2019-06-05

Family

ID=59631130

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016029463A Active JP6523989B2 (ja) 2016-02-19 2016-02-19 プラズマ処理方法及びプラズマ処理装置

Country Status (4)

Country Link
US (1) US9899241B2 (ja)
JP (1) JP6523989B2 (ja)
KR (1) KR101842526B1 (ja)
TW (2) TWI666702B (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177091A (ja) * 1992-12-04 1994-06-24 Sony Corp 半導体装置の製造方法
JPH08107105A (ja) * 1994-10-06 1996-04-23 Sony Corp シリコン系材料層のパターニング方法
JP2007287924A (ja) * 2006-04-17 2007-11-01 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
JP2008198695A (ja) * 2007-02-09 2008-08-28 Renesas Technology Corp 半導体装置の製造方法
JP2014239091A (ja) * 2013-06-06 2014-12-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US20150279624A1 (en) * 2014-03-25 2015-10-01 Hitachi High-Technologies Corporation Plasma processing apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4522783B2 (ja) * 2004-08-03 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
KR101456110B1 (ko) 2007-09-17 2014-11-03 주성엔지니어링(주) 챔버세정의 식각종점 검출방법
JP2010165738A (ja) * 2009-01-13 2010-07-29 Hitachi High-Technologies Corp プラズマ処理装置のシーズニング方法およびシーズニングの終了判定方法。
KR20130017632A (ko) 2011-08-11 2013-02-20 세메스 주식회사 공정 챔버 내부의 이물질 제거 방법
US20130048082A1 (en) * 2011-08-22 2013-02-28 Mirzafer Abatchev System, method and apparatus for real time control of rapid alternating processes (rap)
JP5756974B2 (ja) 2011-12-06 2015-07-29 株式会社デンソー 半導体装置の製造方法、半導体エッチングプロセスにおける計測方法
TWI495746B (zh) * 2013-11-13 2015-08-11 Mingdao University 沉積系統

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177091A (ja) * 1992-12-04 1994-06-24 Sony Corp 半導体装置の製造方法
JPH08107105A (ja) * 1994-10-06 1996-04-23 Sony Corp シリコン系材料層のパターニング方法
JP2007287924A (ja) * 2006-04-17 2007-11-01 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
JP2008198695A (ja) * 2007-02-09 2008-08-28 Renesas Technology Corp 半導体装置の製造方法
JP2014239091A (ja) * 2013-06-06 2014-12-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US20150279624A1 (en) * 2014-03-25 2015-10-01 Hitachi High-Technologies Corporation Plasma processing apparatus

Also Published As

Publication number Publication date
US20170243765A1 (en) 2017-08-24
KR20170098155A (ko) 2017-08-29
TWI666702B (zh) 2019-07-21
US9899241B2 (en) 2018-02-20
JP6523989B2 (ja) 2019-06-05
TW201828362A (zh) 2018-08-01
TW201740458A (zh) 2017-11-16
KR101842526B1 (ko) 2018-03-27
TWI627674B (zh) 2018-06-21

Similar Documents

Publication Publication Date Title
JP7155354B2 (ja) プラズマ処理装置、プロセッサ、制御方法、非一時的コンピュータ可読記録媒体及びプログラム
JP6349257B2 (ja) ハイブリッドパルス化プラズマ処理システム
JP2022183200A (ja) 制御方法、プラズマ処理装置、プロセッサ、及び非一時的コンピュータ可読記録媒体
WO2017126184A1 (ja) プラズマ処理方法およびプラズマ処理装置
TWI575552B (zh) 電漿處理系統中之惰性物支配脈動
KR101674008B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5416280B2 (ja) ドライエッチング方法及び半導体装置の製造方法
TW201342469A (zh) 電漿處理系統中之混合模式脈動蝕刻
TW201440111A (zh) 電漿處理方法及電漿處理裝置
US20220319856A1 (en) Etching isolation features and dense features within a substrate
JP6976228B2 (ja) プラズマ処理装置
CN111048389A (zh) 等离子体处理方法和等离子体处理装置
CN112424911B (zh) 等离子体处理装置以及等离子体处理方法
CN109075068B (zh) 蚀刻方法
JP6523989B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2001257198A (ja) プラズマ処理方法
JP7061140B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4364011B2 (ja) プラズマ生成方法及びプラズマ生成装置
JP2005166827A (ja) プラズマエッチング方法
JP2012129429A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20170803

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171218

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190403

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190426

R150 Certificate of patent or registration of utility model

Ref document number: 6523989

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350