JPH06177091A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH06177091A
JPH06177091A JP4325749A JP32574992A JPH06177091A JP H06177091 A JPH06177091 A JP H06177091A JP 4325749 A JP4325749 A JP 4325749A JP 32574992 A JP32574992 A JP 32574992A JP H06177091 A JPH06177091 A JP H06177091A
Authority
JP
Japan
Prior art keywords
processing
gas
plasma
wafer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4325749A
Other languages
English (en)
Other versions
JP3259380B2 (ja
Inventor
Tetsuji Nagayama
哲治 長山
Tetsuya Tatsumi
哲也 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP32574992A priority Critical patent/JP3259380B2/ja
Priority to US08/156,902 priority patent/US5378311A/en
Priority to KR1019930026003A priority patent/KR100268513B1/ko
Publication of JPH06177091A publication Critical patent/JPH06177091A/ja
Application granted granted Critical
Publication of JP3259380B2 publication Critical patent/JP3259380B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【目的】 プラズマ装置の同一チャンバ内で異なる種類
の処理が連続して行われる場合に、前工程で用いた処理
ガスの残留分の影響が次工程に及ばないようにする。 【構成】 前工程を終了した後、処理ガスを一旦排気す
るか、または不活性ガスと置換する。たとえば、ウェハ
4上で3層レジスト・プロセスの下層レジスト層をO2
プラズマを用いてエッチングした後、バイアス印加用の
RF電源13をウェハ・ステージ5から切り離し、一旦
2 ガスを排気してからHeガスを導入し、上記ウェハ
4を保持していた単極式静電チャック1の残留電荷をH
eプラズマを通じて除去する。排気工程を省略すると、
残留するO2 ガスから解離生成するO* が無バイアス条
件下で優勢となり、異方性形状が劣化してしまう。この
他、オーバーエッチング前にジャストエッチング時のガ
スを排気することも有効。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体装置の製造方法
に関し、特にプラズマ装置の同一処理チャンバ内で処理
条件を切り替えながら異なる種類の処理を連続して行う
プロセスにおいて、前のプラズマ処理に用いた処理ガス
の影響を次工程処理に及ぼさないようにする技術に関す
る。
【0002】
【従来の技術】半導体装置の集積度や性能の向上に伴
い、各種の微細加工技術に対する要求水準も一段と厳し
さを増している。特に近年では、多層膜の加工プロセ
ス、静電チャックのON/OFF切り替えを伴うプロセ
ス、あるいは超高選択比を達成するためにドライエッチ
ングをジャストエッチングとオーバーエッチングの2段
階に分けて行うプロセス等のように、プラズマ装置の同
一チャンバ内で異なる種類の処理が連続して行われるケ
ースが生じている。
【0003】ここで、静電チャックとは、絶縁部材中に
埋設された内部電極に直流電圧を印加し、この絶縁部材
とその上に載置されたウェハとの間に発現するクーロン
力を利用してウェハを吸着固定させる機構である。たと
えば、近年のドライエッチング技術、特に低温下でラジ
カルの反応性を抑制しながら低Vdc(自己バイアス電
位)条件下で高異方性エッチングを行う低温エッチング
においては、ウェハ・ステージとウェハとの間の熱伝達
を面内で均一化し、エッチング速度の面内分布を最小限
に抑制することが要求される。静電チャックは、このよ
うな場合のウェハ保持に極めて有効である。
【0004】静電チャックには、ウェハが導体,半導
体,誘電体のいずれであるか、またウェハをアースする
か否かにより幾つかの異なる方式が知られているが、近
年主流となりつつあるのは単極式と呼ばれる方式であ
る。これは、ウェハが導体または半導体である場合に、
絶縁部材中の単一の内部電極に所定の極性の直流電圧を
印加し、対向アースはプラズマを経由して処理チャンバ
の壁を通じてとる方式である。この単極式静電チャック
は、プラズマが生成しないとウェハをウェハ・ステージ
に吸着することができないが、MOSデバイスのゲート
酸化膜の耐圧劣化を生じにくいという、重要なメリット
を有している。
【0005】この単極式静電チャックを用いた場合、プ
ラズマ処理終了後に直流電圧の印加を停止しても電荷が
残留する。このため、ウェハをウェハ・ステージから引
き離すためには、上記プラズマ処理の結果に実質的に影
響を与えないガスを供給して再度プラズマを生成させ、
このプラズマを通じて残留電荷を逃がすことが必要であ
る。このとき、ウェハの吸着に用いた直流電圧と逆極性
の直流電圧を内部電極に印加して残留電荷を強制的に除
去して電荷除去時間を短縮することができるが、印加電
圧が過剰になれば逆極性の電荷が誘導され、ウェハ・ス
テージは再び帯電してしまう。
【0006】この問題を解決するため、特開平4−51
542号公報には、プラズマ処理を終了した後にウェハ
の吸着に用いた電圧と逆極性の電圧を内部電極に印加し
て残留電荷をある程度消滅させ、ウェハを分離した後、
不活性ガスを用いた放電処理を行って残留電荷を完全に
除去する技術が開示されている。
【0007】一方、超高選択エッチングにおいては、被
エッチング材料層のエッチングを実質的に下地材料層が
露出する直前までのジャストエッチング工程と、この被
エッチング材料層の残余部をエッチングするオーバーエ
ッチング工程とに分けることがしばしば行われる。この
2段階エッチングの代表例は、MOSトランジスタのゲ
ート電極加工であり、より具体的にはSiO2 からなる
ゲート酸化膜上における多結晶シリコン層やタングステ
ン・ポリサイド膜等のドライエッチングである。このゲ
ート電極加工では、プロセス全体の所要時間を短縮しな
がら、厚さわずか10nmほどの薄いゲート酸化膜に対
して高選択比を達成しなければならない。このため、典
型的なプロセス例では、ジャストエッチング工程におい
てF* を主エッチング種とした高速エッチングを行い、
オーバーエッチング工程においてBr* を主エッチング
種とした高選択エッチングを行っている。
【0008】
【発明が解決しようとする課題】以上、単極式静電チャ
ック上のウェハに対して所定のプラズマ処理を終了した
後、残留電荷除去を行うプロセス、あるいはジャストエ
ッチング工程とオーバーエッチング工程とを連続して行
うプロセス等のように、異なるプロセスを連続して行う
ケースについて述べた。ここで、微細加工に対する要求
水準の上昇に伴って、前のプラズマ処理における残留ガ
スが次工程処理に悪影響を及ぼす問題が指摘されるよう
になってきた。すなわち、残留ガス、あるいはこの残留
ガスと次工程処理のガスとの反応により生成する化学種
による形状異方性や下地選択性の低下等の問題である。
【0009】まず、形状異方性の劣化について、3層レ
ジスト・プロセスにおける下層レジスト層のエッチング
を終了した後の残留電荷除去工程を例として、図7を参
照しながら説明する。図7(a)は、有磁場マイクロ波
プラズマ・エッチング装置のウェハ・ステージ55上の
単極式静電チャック51にウェハ54が載置され、この
ウェハ54上の下層レジスト層(図示せず。)をO2
ラズマを用いてエッチングしている状態を示している。
なお図中では、模式的な表現の都合上、ウェハ・ステー
ジ55、単極式静電チャック51、ウェハ54の三者が
互いに離間しているように描かれているが、実際には互
いに密着されたものである。
【0010】上記単極式静電チャック51は、絶縁部材
52に単一の内部電極53が埋設された構成を有する。
上記内部電極53には高周波カット・フィルタ57と切
り替えスイッチ58とを介し、正の直流電圧を印加可能
な直流電源59と負の直流電圧を印加可能な直流電源6
0が並列に接続されている。これら両直流電源59,6
0は、共通に接地されている。図7(a)では、直流電
源60が接続されることにより内部電極53は負電荷を
帯び、これに伴って絶縁部材52の表面には正電荷、ウ
ェハ54表面には負電荷がそれぞれ誘導される。ウェハ
54は、自身の負電荷と絶縁部材52表面の正電荷との
間のクーロン力により、単極式静電チャック51上に吸
着保持される。対向アースは、O2 プラズマを経由して
図示されないチャンバ壁を通じてとられている。
【0011】一方、上記ウェハ・ステージ55には冷却
配管56が埋設されており、この冷却配管56中に適当
な冷媒を循環させることにより、ウェハ54を所定の温
度に冷却するようになされている。また、ウェハ・ステ
ージ55にはスイッチ61と直流成分を遮断するための
ブロッキング・コンデンサ62を介してRF電源63が
接続されている。
【0012】ここで、エッチング中は上記スイッチ61
はONとし、RFバイアスを印加して所定の入射イオン
・エネルギーが得られるようにする。これは、下層レジ
スト層のエッチングが基本的にO* (酸素ラジカル)を
エッチャントとした等方的な燃焼反応にもとづいて進行
するため、イオン・アシスト効果により形状異方性を確
保する必要があるからである。
【0013】下層レジスト層のエッチングが終了した後
は、残留電荷除去の工程に入る。この工程では、十分な
下地選択性を確保するためにRFバイアスは不要である
ため、図7(b)に示されるように、上記スイッチ61
はOFFとしてRF電源63を切り離す一方、切り替え
スイッチ58の操作により直流電源59を内部電極53
に接続して正の直流電圧を印加する。これにより、内部
電極53、絶縁部材52、ウェハ54の各表面における
電荷が減少する。
【0014】しかしこのとき、RFバイアス印加を停止
したことによりプラズマ中ではランダムな運動をするO
* が優勢となり、このO* によりせっかく良好に異方性
加工された下層レジスト層のパターンの側壁面が攻撃さ
れ、等方的なエッチングが進行してしまう。最終的に
は、図7(c)に示されるように切り替えスイッチ58
をOFFとし、Ar等の不活性ガスを処理チャンバ内へ
導入し、これを放電解離して生成するプラズマを用いて
残留電荷が完全に除去される。
【0015】以上は、残留電荷除去工程において残留O
* により形状異方性が低下する例であったが、他の残留
化学種による悪影響の例としては、前述の2段階エッチ
ングによるゲート電極加工において、ジャストエッチン
グ時のF* の残留分がオーバーエッチング時においてゲ
ート酸化膜に対する選択性を低下させる例がある。ま
た、残留ガスと次工程処理のガスとの反応により生成す
る化学種による悪影響の例としては、ホール(接続孔)
加工後に下地選択性が低下する例がある。ホール加工の
代表的なプロセスは、フルオロカーボン系ガスを用いた
SiO2 層間絶縁膜のエッチングであるが、このエッチ
ング直後に残留電荷の除去を目的としてO2 を導入する
と、残留フルオロカーボン系ガスの解離が促進されてプ
ラズマ中のF* 濃度が上昇し、これにより下地選択性が
低下するのである。
【0016】そこで本発明は、上述のようにプラズマ装
置の同一処理チャンバ内で処理条件を切り替えながら異
なる種類の処理を連続して行うプロセスにおいても、前
のプラズマ処理に用いた処理ガスの影響を次工程処理に
及ぼさず、信頼性の高い次工程処理を行うことが可能な
半導体装置の製造方法を提供することを目的とする。
【0017】
【課題を解決するための手段】本発明の半導体装置の製
造方法は、上述の目的を達成するために提案されるもの
であって、プラズマ装置の処理チャンバ内で処理ガスを
放電解離して生成させたプラズマを用い、該処理チャン
バ内に保持されたウェハに対して所定のプラズマ処理を
行った後、同一処理チャンバ内で放電条件を変化させて
次工程処理を行う半導体装置の製造方法において、前記
所定のプラズマ処理と前記次工程処理との間に、前記処
理ガスを排気する工程を設けるものである。
【0018】本発明はまた、前記所定のプラズマ処理と
前記次工程処理との間に、前記処理ガスを排気しながら
前記所定のプラズマ処理の結果に実質的に影響を与えな
い不活性ガスを前記処理チャンバ内へ導入する工程を設
けるものである。
【0019】本発明はまた、前記所定のプラズマ処理と
前記次工程処理との間に、前記処理ガスを排気する第1
の工程と、前記所定のプラズマ処理の結果に実質的に影
響を与えない不活性ガスを前記処理チャンバ内へ導入す
る第2の工程とを少なくとも1回ずつ設けるものであ
る。
【0020】本発明はまた、前記不活性ガスの導入後、
放電を行うものである。
【0021】本発明はまた、前記処理ガスの排気状態
を、この処理ガスが放電解離条件下で生成し得る化学種
の発光スペクトルにもとづいてモニタするものである。
【0022】本発明はまた、前記所定のプラズマ処理を
前記処理チャンバ内で単極式静電チャックを備えたウェ
ハ・ステージ上に保持された前記ウェハに対するドライ
エッチングとし、前記次工程処理をこの単極式静電チャ
ックの残留電荷除去とするものである。
【0023】本発明はまた、前記次工程処理において堆
積性ガスを放電解離させて生成するプラズマを用いて前
記単極式静電チャックの残留電荷除去を行うものであ
る。
【0024】本発明はさらに、前記所定のプラズマ処理
を前記ウェハ上の被エッチング材料層を実施的にその層
厚分だけエッチングするジャストエッチングとし、前記
次工程処理を前記被エッチング材料層の残余部をエッチ
ングするオーバーエッチングとするものである。
【0025】
【作用】本発明では、プラズマ装置の処理チャンバ内で
あるプラズマ処理を行った後、このプラズマ処理に用い
られた処理ガスの残留分による影響を排除するために、
この処理ガスを一旦排気することを基本的な考え方とす
る。処理ガスを一旦排気すれば、該処理ガスに由来する
化学種が発生しないことから、残留ラジカルによる異方
性や下地選択性の劣化等は当然起こらない。また、次工
程処理を行うためのガスを導入したとしても、残留ガス
により処理ガスが分解されたり不要な化学種が過剰に生
成したりする虞れもない。
【0026】ここで、処理ガスの排気にあたってはこれ
を単独で行っても良いが、排気と同時に前記所定のプラ
ズマ処理の結果に実質的に影響を与えない不活性ガスを
導入しても良い。この場合には、不活性ガスの置換効果
により、排気速度を高めることが可能となる。あるい
は、処理ガスを排気した後、不活性ガスを導入しても良
い。さらにあるいは、処理ガスの排気と不活性ガスの導
入とを交互に繰り返すことにより、処理ガスの排除を徹
底させることができる。不活性ガスを導入した後に放電
を行えば、不活性ガスのプラズマにより処理チャンバ内
を効果的にクリーニングすることもできる。
【0027】さらに、前記処理ガスの排気状態を、この
処理ガスが放電解離条件下で生成し得る化学種の発光ス
ペクトルにもとづいてモニタすれば、排気/置換プロセ
スの進行状況を確認したり、終点を判定することができ
る。具体的には、上記化学種の発光スペクトル強度が十
分に減少した時点をもって終点を判定すれば良い。これ
により、無駄な排気操作を省き、最小限の時間で効果的
な排気/置換を行うことが可能となる。
【0028】以上のような排気/置換プロセスは、具体
的には単極式静電チャック上に保持されたウェハに対し
て所定のプラズマ処理を行った後、残留電荷除去工程に
移行する前に行うこと、あるいはジャストエッチング工
程とオーバーエッチング工程との間に行うことが、極め
て有効である。これにより、異方性や下地選択性が何ら
損なわれる虞れがなくなる。
【0029】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0030】実施例1 本実施例は、有磁場マイクロ波プラズマ・エッチング装
置のウェハ・ステージ上に単極式静電チャックを用いて
ウェハを保持し、3層レジスト・プロセスによりSRA
Mのビット線加工用のエッチング・マスクを形成した
後、上記単極式静電チャックの残留電荷を除去した例で
ある。
【0031】まず、本実施例のプロセス・シーケンスに
ついて、図1を参照しながら説明する。図1(a)は、
有磁場マイクロ波プラズマ・エッチング装置の図示され
ない処理チャンバ内において、ウェハ・ステージ5上の
単極式静電チャック1にウェハ4が載置され、このウェ
ハ4上の下層レジスト層(30;図2参照。)をECR
(電子サイクロトロン共鳴)放電により生成したO2
ラズマを用いてエッチングしている状態を示している。
【0032】上記単極式静電チャック1は、絶縁部材2
に単一の内部電極3が埋設された構成を有する。上記内
部電極3にはコイル等からなる高周波カット・フィルタ
7と切り替えスイッチ8とを介し、正の直流電圧を印加
可能な直流電源9と負の直流電圧を印加可能な直流電源
10が並列に接続されている。これら両直流電源9,1
0は、共通に接地されている。図1(a)では、直流電
源10が接続されることにより内部電極3は負電荷を帯
び、これに伴って絶縁部材2の表面には正電荷、ウェハ
4表面には負電荷がそれぞれ誘導される。なお、ここで
ウェハ4の表面が最終的に負電荷を帯びているのは、E
CR放電によりウェハ4に負の自己バイアスがかかって
いるからである。ウェハ4は、自身の負電荷と絶縁部材
2表面の正電荷との間のクーロン力により、単極式静電
チャック1上に吸着保持される。対向アースは、O2
ラズマを経由して図示されないチャンバ壁を通じてとら
れている。
【0033】一方、上記ウェハ・ステージ5には冷却配
管6が埋設されており、この冷却配管6中に適当な冷媒
を循環させることにより、ウェハ4を所定の温度に冷却
するようになされている。また、ウェハ・ステージ5に
はスイッチ11と直流成分を遮断するためのブロッキン
グ・コンデンサ12を介してRF電源13が接続されて
いる。
【0034】ここで、エッチング中は上記スイッチ11
はONとし、RFバイアスを印加して所定の入射イオン
・エネルギーが得られるようにする。
【0035】下層レジスト層のエッチングが終了した後
は、マイクロ波の供給を停止してECR放電を中断し、
図1(b)に示されるように、スイッチ11をOFFと
してRFバイアスを無印加状態とした上でO2 を一旦排
気する。
【0036】次に、図1(c)に示されるように処理チ
ャンバにHeガスを導入し、再びマイクロ波を投入して
ECRプラズマを生成させる。このとき、切り替えスイ
ッチ8を一時的に直流電源9側へ接続し、内部電極3に
印加される直流電圧を逆極性とすることにより、内部電
極3、絶縁部材2、ウェハ4の各表面の残留電荷を効果
的に低減させることができる。
【0037】さらに、図1(d)に示されるように、切
り替えスイッチ8をOFFとし、HeガスのECR放電
を継続する。このときのECRプラズマにより、残留電
荷が消滅すると共に、処理チャンバの内部がクリーニン
グされる。
【0038】なお、以上の工程はプロセス・シーケンス
の一例であって、他にも種々の変更が可能である。たと
えば、上述の例では上記内部電極3に印加される直流電
圧の極性を負→正→無の順に変化させているが、これを
正→負→無の順に変化させても良い。また、上記図1
(b)に示したO2 の排気工程では、同時にHeガスを
導入してO2 を置換するようにしても良い。
【0039】次に、上述のプロセス・シーケンスを実際
のSRAMのビット線加工における下層レジスト層のエ
ッチングに適用したプロセス例について、図2を参照し
ながら説明する。図2(a)は、エッチング前のウェハ
の一構成例を示す概略断面図である。すなわち、予めシ
ャロー・トレンチ型の素子分離領域22が形成されたシ
リコン基板21上にSiO2 からなるゲート酸化膜を介
して1層目ポリサイド膜によるゲート電極25が形成さ
れている。このゲート電極25は、下層側の多結晶シリ
コン層23と上層側のWSix (タングステン・シリサ
イド)層24とが積層されたものである。さらに、ウェ
ハの全面はたとえばCVDによりSiO2 を堆積させる
ことにより形成されたSiO2 層間絶縁膜26に被覆さ
れており、その上には2層目ポリサイド膜29が形成さ
れている。この2層目ポリサイド膜29は、下層側の多
結晶シリコン層27と上層側のWSix 層28とが積層
されたものであり、SRAMのビット線を構成する部分
である。
【0040】さらに、この2層目ポリサイド膜29をパ
ターニングするために、まずウェハの表面段差をほぼ吸
収して平坦化できる厚さに下層レジスト層30が形成さ
れ、この上にSOG中間層パターン31、上層レジスト
・パターン32が順次形成されている。ここで、上記下
層レジスト層30は一例としてノボラック系ポジ型フォ
トレジスト(東京応化工業社製;商品名OFPR−80
0)を用いて形成した。この下層レジスト層30の厚さ
は、層厚の大きい部分において約1.0μmである。
【0041】上記SOG中間層パターン31は、一例と
してSOG(東京応化工業社製;商品名OCD−Typ
e2)を用いて約0.15μmの厚さの塗膜を形成した
後、後述の上層レジスト・パターン32をマスクとして
RIE(反応性イオン・エッチング)を行うことにより
形成されている。このときのエッチング条件は、たとえ
ばヘキソード型のRIE装置を用い、CHF3 流量75
SCCM,O2 流量8SCCM,ガス圧6.5Pa,R
Fパワー1350W(13.56MHz)とした。
【0042】上記上層レジスト・パターン32は、一例
として化学増幅系のネガ型3成分レジスト(シプレー社
製;商品名SAL−601)を用いて厚さ約0.5μm
の塗膜を形成した後、KrFエキシマ・レーザ・ステッ
パを用いてパターニングされている。
【0043】次に、下層レジスト層30をエッチングす
るため、このウェハを有磁場マイクロ波プラズマ・エッ
チング装置の処理チャンバ内において前述の単極式静電
チャック1上にセットし、該処理チャンバにO2 ガスを
導入した。また、ウェハ・ステージ5に内蔵される冷却
配管6には、たとえば装置外部に設置されるチラー(図
示せず。)からアルコール系冷媒を供給循環させた。
【0044】エッチング条件の一例を以下に示す。 O2 流量 10 SCCM ガス圧 0.27 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 100 W(800 kH
z) ウェハ温度 −50 ℃ 内部電極への直流電圧 −600 V
【0045】このエッチング過程では、O* による上層
レジスト・パターン32および下層レジスト層30の燃
焼反応がOx + の入射イオン・エネルギーにアシストさ
れる機構で異方性エッチングが進行した。上層レジスト
・パターン32は途中で消失し、これ以降は中間層パタ
ーン31が単独でエッチング・マスクとして機能する。
この結果、図2(b)に示されるように、良好な異方性
形状を有する下層レジスト・パターン30aが形成され
た。
【0046】このエッチング終了後、前出の図1(b)
に示されるようにO2 ガスを排気した。このときの排気
時間は10秒、到達真空度は1×10-3Paとした。こ
のとき、スイッチ11のOFF操作によりRFバイアス
・パワーは印加されないため、不要なイオン入射により
下地の2層目ポリサイド膜29がスパッタされることは
なかった。
【0047】次に、一例として下記の条件で残留電荷除
去を行った。 He流量 50 SCCM ガス圧 0.67 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 0 W ウェハ温度 −50 ℃ 放電時間 15 秒 内部電極への直流電圧 +100 V(最初の0.2秒
間) 0 V(残る14.8秒間) ここで、このプラズマ処理工程において、総所要時間1
5秒のうち最初の0.2秒間は図1(c)に示されるよ
うに内部電極3に逆極性の直流電圧を印加し、残る時間
は図1(d)に示されるように直流電圧は無印加とし
た。これにより、残留電荷をほぼ完全に除去することが
できた。なお、上記の総所要時間はある程度余裕を持た
せて設定しており、条件により短縮することも可能であ
る。
【0048】またこのとき、RFバイアス・パワーも無
印加であるが、プラズマ中にはO*がほとんど存在して
いないため、先のエッチングにより達成された下層レジ
スト・パターン30aの異方性形状が何ら劣化すること
はなかった。
【0049】ところで、上述のプロセスでは、図7を参
照しながら説明した従来プロセスと異なり、残留電荷の
除去が終了するまでウェハ4はウェハ・ステージ5上に
載置されたままである。このことは、ウェハ4をウェハ
・ステージ5から引き離す際のウェハ割れを防止した
り、ウェハ・ステージ5および単極式静電チャック1の
表面へ及ぼすプラズマ照射損傷を防止する上で、極めて
有利である。
【0050】比較例 本比較例では、実施例1で上述したプロセス中、O2
排気工程を省略し、下層レジスト層30のエッチング後
直ちに残留電荷除去を行った。つまり、図1(b)に示
される状態は省略され、図1(c)および図1(d)に
おいてはプラズマ中にO* が共存している状態と考えれ
ば良い。
【0051】かかるプロセス・シーケンスにより残留電
荷除去を行った場合には、図2(b)に示した下層レジ
スト・パターン30aの異方性形状が損なわれ、図4に
示されるようにアンダカットを生じた下層レジスト・パ
ターン30cが得られた。これは、RFバイアス・パワ
ーの印加が停止された時点で、気相中に残留するO*
下層レジスト・パターン30aを側壁面から等方的にエ
ッチングしたからである。
【0052】実施例2 本実施例では、下層レジスト層30のエッチングと残留
電荷除去に用いられるガス組成を実施例1とは変更し、
前者ではO2 /Cl2 混合ガス、後者ではN2ガスを用
いた。下層レジスト30のエッチング条件の一例を以下
に示す。
【0053】 O2 流量 8 SCCM Cl2 流量 2 SCCM ガス圧 0.27 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 100 W(800 kH
z) ウェハ温度 −30 ℃ ここで、エッチング・ガス組成にCl2 を添加したの
は、低温冷却条件下で蒸気圧の低いエッチング反応生成
物CClx ポリマーによる側壁保護効果を期待するため
である。事実、実施例1に比べてウェハ温度が高いにも
かかわらず、良好な異方性形状を有する下層レジスト・
パターン30aを形成することができた。
【0054】次に、O2 およびCl2 を排気した。この
ときの排気時間は10秒、到達真空度は5×10-3Pa
とした。さらに、一例として下記の条件で残留電荷の除
去を行った。 N2 流量 50 SCCM ガス圧 0.67 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 0 W ウェハ温度 −30 ℃ 放電時間 15 秒 内部電極への直流電圧 +100 V(最初の0.2秒
間) 0 V(残る14.8秒間) 本実施例では、先のエッチング工程でCClx ポリマー
による側壁保護効果を利用しているが、このとき形成さ
れる側壁保護膜(図示せず。)はパターン側壁面に均一
な厚さに付着している訳ではない。したがって、仮に排
気工程を省略して残留電荷除去を行うと、側壁保護膜の
薄い部分から等方性エッチングが進行し、下層レジスト
・パターン30aの断面形状が複雑に劣化し易い。
【0055】しかし、本実施例により、下層レジスト・
パターン30aの異方性形状を良好に維持しながら、残
留電荷を除去することができた。
【0056】実施例3 本実施例では、エッチングに用いたO2 を、Heで置換
しながら排気した例である。まず、実施例1と同じ条件
でO2 を用いて下層レジスト層30をエッチングした
後、一例として下記の条件で排気/置換を行った。
【0057】 He流量 50 SCCM ガス圧 0.67 Pa マイクロ波パワー 0 W RFバイアス・パワー 0 W ウェハ温度 −50 ℃ 排気時間 2 秒 本実施例では、O2 の排気とHeによる置換を同時に行
うことにより、排気時間を2秒に短縮することができ、
スループットの低下を最小限に抑えることができた。
【0058】次に、一例として下記の条件で放電を行っ
た。 マイクロ波パワー 1200 W(2.45 GH
z) 放電時間 15 秒 これにより、残留電荷をほぼ完全に除去すると共に、処
理チャンバ内部のクリーニングも行うことができた。
【0059】実施例4 本実施例では、より高度な形状制御を目的として、残留
電荷除去工程において堆積性のCH2 2 ガスを用い
た。このプロセスを、図3を参照しながら説明する。ま
ず、実施例1と同じ条件でO2 を用いて下層レジスト層
30のエッチングおよびO2 の排気を行った。ただし、
ここまでの段階ではスイッチ11のOFF操作と排気操
作とのタイミングに依存して、図3(a)に示されるよ
うに、ごく僅かにアンダカットを生じた下層レジスト・
パターン30bが形成されている。このアンダカット
は、中間層パターン31のエッジ部から約0.02μm
内側へ後退する形で発生している。
【0060】そこで、一例として下記の条件で残留電荷
除去を行うと共に、上記下層レジスト・パターン30b
の断面形状の補正を行った。 CH2 2 流量 10 SCCM ガス圧 0.67 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 0 W ウェハ温度 −50 ℃ 排気時間 10 秒 この放電により、プラズマ中に生成したCFx ポリマー
32が、無バイアス条件下でウェハの全面に約0.02
〜0.03μmの厚さに堆積した。この堆積厚さは、上
記のアンダカット埋めてパターン側壁面を平坦化するに
十分であり、図3(b)に示されるように下層レジスト
・パターン30bの断面形状が補正された。なお、2層
目ポリサイド膜29の露出面上に堆積したCFx ポリマ
ー32は、厚さが上述の程度で薄いことから、後工程で
この2層目ポリサイド膜29をエッチングしてビット線
加工を行う前に容易に除去することができる。
【0061】実施例5 本実施例では、ポリサイド・ゲート電極加工において、
ジャストエッチング終了後、このジャストエッチングで
用いられたエッチング・ガスの排気/置換を数回に分け
て行う(サイクル・パージ)ことにより、排気/置換効
率を高めた。このときのエッチング・プロセスを、図5
を参照しながら説明する。
【0062】本実施例でエッチング・サンプルとして用
いたウェハは、図5(a)に示されるように、単結晶シ
リコン基板41上に薄いゲート酸化膜42を介してポリ
サイド膜45が積層され、さらにこのポリサイド膜45
の上にレジスト・パターン46が所定の形状に形成され
たものである。上記ポリサイド膜45は、下層側から順
に、厚さ約100nmの多結晶シリコン層43と厚さ約
100nmのWSix層44とが積層されたものであ
る。
【0063】このウェハをRFバイアス印加型の有磁場
マイクロ波プラズマ・エッチング装置にセットし、一例
として下記の条件により上記ポリサイド膜45をジャス
トエッチングした。 SF6 流量 30 SCCM HBr流量 20 SCCM ガス圧 1.3 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 200 W(400 kH
z) ウェハ温度 −40 ℃ このジャストエッチングは、図5(b)に示されるよう
に下地のゲート酸化膜42が露出する直前で終了した。
【0064】この工程では、F* による高速性エッチン
グ、およびBr* による高選択エッチングが、S
x + ,Br+ 等のイオンの入射エネルギーにアシスト
される機構で進行した。また、ウェハが低温冷却されて
いることから、パターン側壁面上には蒸気圧の低いSi
Brx が堆積し、図示されない側壁保護膜が形成され
た。なお、図中、エッチングにより形成されたパターン
は、もとの材料層の符号に添字aを付して表した。
【0065】このように、元来、高選択加工が可能なガ
ス組成ではあるが、それでもなおこのままオーバーエッ
チングを行うと、残留するF* により下地選択性や形状
異方性、特に下層側の多結晶シリコン・パターン43a
の形状異方性が劣化する虞れがある。そこで一例とし
て、下記の条件でサイクル・パージを行った。
【0066】 排気工程 : 到達真空度10-2Pa以下 He置換工程: 流量 100 SCCM ガス圧 3 Pa 置換時間 10 秒 これら排気工程とHe置換工程とはそれぞれ交互に3回
繰り返し、総所要時間はおおよそ1分であった。これに
より、図5(c)に示されるように、気相中に存在する
化学種はほぼHeのみとなった。
【0067】この後、一例として下記の条件でポリサイ
ド膜45のオーバーエッチングを行った。 HBr流量 50 SCCM ガス圧 1.3 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 15 W(400 kH
z) ウェハ温度 −40 ℃ この工程では、気相中からF* がほぼ完全に除去された
ことにより、図5(d)に示されるように良好な異方性
形状を有するゲート電極45aを形成することができ
た。また、この工程の主エッチング種がBr* であり、
かつRFバイアス・パワーが低減されていることによ
り、下地のSiO2 層間絶縁膜26に対して100以上
もの高い選択比を達成することができた。
【0068】実施例6 本実施例では、実施例5で行ったサイクル・パージの変
形例として、He放電を行った。まず、実施例5と同様
にポリサイド膜45をジャストエッチングした後、一例
として下記の条件でサイクル・パージを行った。
【0069】 排気工程 : 到達真空度10-2Pa以下 He放電工程: 流量 100 SC
CM ガス圧 2 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 0 W ウェハ温度 −40 ℃ 放電時間 10 秒 これら排気工程とHe放電工程とはそれぞれ交互に3回
繰り返し、総所要時間はおおよそ1分であった。これに
より、図5(c)に示されるように、気相中に存在する
化学種はほぼHe* のみとなった。
【0070】ここで、上記の排気と置換がどの程度進行
しているかは、F* の発光スペクトルをモニタすること
によりin situに確認した。発光スペクトルを図
6に示す。F* に由来する発光ピークは634.8n
m,760.7nm,775ほ5nm等に観測される
が、これらのピークが消滅するか、あるいはピーク高
さ、すなわち発光強度がバックグラウンド値と同等にな
った時点でサイクル・パージを終了した。この後、実施
例5と同様にオーバーエッチングを行い、高選択・高異
方性加工を行うことができた。
【0071】以上、本発明を6例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、本発明を適用可能なプロセス
は、上述の下層レジスト層やポリサイド膜のエッチング
に限られず、前工程の残留ガスにより選択性や異方性が
劣化する虞れのあるプロセスであれば他のプロセスであ
っても構わない。
【0072】その他、ウェハの構成、エッチング条件、
排気条件、使用する装置の種類、エッチング・ガスや不
活性ガスの組成等が適宜変更可能であることは、言うま
でもない。
【0073】
【発明の効果】以上の説明からも明らかなように、本発
明ではプラズマ装置の同一チャンバ内で異なる種類の処
理が連続して行われるケースにおいて、前のプラズマ処
理に用いた処理ガスの影響を次工程処理に及ぼさず、次
工程処理の信頼性を高めることが可能となる。これによ
り、具体的には単極式静電チャックの残留電荷除去時、
あるいはオーバーエッチング時における選択性や異方性
の劣化防止等が可能となる。
【0074】本発明は、微細なデザイン・ルールにもと
づいて設計され、高集積度,高性能を有する半導体装置
の製造において極めて有効である。
【図面の簡単な説明】
【図1】本発明を適用した一実施例におけるプロセス・
シーケンスを説明するための模式的断面図であり、
(a)はエッチング工程、(b)は排気工程、(c)は
逆極性の直流電圧印加とHeプラズマによる残留電荷除
去工程、(d)はHeプラズマによる残留電荷除去工程
をそれぞれ表す。
【図2】SRAMのビット線加工用のエッチング・マス
クの形成を想定した3層レジスト・プロセスの一例をそ
の工程順にしたがって示す概略断面図であり、(a)は
エッチング前のウェハの状態、(b)は下層レジスト・
パターンが形成された状態をそれぞれ表す。
【図3】SRAMのビット線加工用のエッチング・マス
クの形成を想定した3層レジスト・プロセスの他の例を
その工程順にしたがって示す概略断面図であり、(a)
は中間層パターンの下にわずかにアンダカットを生じな
がら下層レジスト・パターンが形成された状態、(b)
は残留電荷除去工程でCFx ポリマーを生成させること
により、下層レジスト・パターンの断面形状が補正され
た状態をそれぞれ表す。
【図4】SRAMのビット線加工用のエッチング・マス
クの形成を想定した3層レジスト・プロセスの比較例に
おいて、中間層パターンの下に大きくアンダカットを生
じながら下層レジスト・パターンが形成された状態を表
す概略断面図である。
【図5】ポリサイド・ゲート電極加工の一プロセス例を
その工程順にしたがって示す概略断面図であり、(a)
はエッチング前のウェハの状態、(b)はポリサイド膜
がジャストエッチングされた状態、(c)はエッチング
・ガスが排気/置換された状態、(d)はポリサイド膜
がオーバーエッチングされた状態をそれぞれ表す。
【図6】図5(c)の排気/置換工程で放電を行った場
合に観測される発光スペクトルを示すチャートである。
【図7】従来の残留電荷除去シーケンスを説明する模式
的断面図であり、(a)はエッチング工程、(b)は逆
極性の直流電圧印加と残留ガス・プラズマによる残留電
荷除去工程、(c)はArプラズマによる残留電荷除去
工程をそれぞれ表す。
【符号の説明】
1 ・・・単極式静電チャック 2 ・・・絶縁部材 3 ・・・内部電極 4 ・・・ウェハ 5 ・・・ウェハ・ステージ 8 ・・・切り替えスイッチ 9,10 ・・・直流電源 11 ・・・スイッチ 13 ・・・RF電源 29 ・・・2層目ポリサイド膜 30 ・・・下層レジスト層 30a,30b・・・下層レジスト・パターン 31 ・・・中間層パターン 30 ・・・下層レジスト層 42 ・・・ゲート酸化膜 45 ・・・ポリサイド膜 45a ・・・ゲート電極

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ装置の処理チャンバ内で処理ガ
    スを放電解離して生成させたプラズマを用い、該処理チ
    ャンバ内に保持されたウェハに対して所定のプラズマ処
    理を行った後、同一処理チャンバ内で放電条件を変化さ
    せて次工程処理を行う半導体装置の製造方法において、 前記所定のプラズマ処理と前記次工程処理との間に、前
    記処理ガスを排気する工程を設けることを特徴とする半
    導体装置の製造方法。
  2. 【請求項2】 プラズマ装置の処理チャンバ内で処理ガ
    スを放電解離して生成させたプラズマを用い、該処理チ
    ャンバ内に保持されたウェハに対して所定のプラズマ処
    理を行った後、同一処理チャンバ内で放電条件を変化さ
    せて次工程処理を行う半導体装置の製造方法において、 前記所定のプラズマ処理と前記次工程処理との間に、前
    記処理ガスを排気しながら前記所定のプラズマ処理の結
    果に実質的に影響を与えない不活性ガスを前記処理チャ
    ンバ内へ導入する工程を設けることを特徴とする半導体
    装置の製造方法。
  3. 【請求項3】 プラズマ装置の処理チャンバ内で処理ガ
    スを放電解離して生成させたプラズマを用い、該処理チ
    ャンバ内に保持されたウェハに対して所定のプラズマ処
    理を行った後、同一処理チャンバ内で放電条件を変化さ
    せて次工程処理を行う半導体装置の製造方法において、 前記所定のプラズマ処理と前記次工程処理との間に、前
    記処理ガスを排気する第1の工程と、前記所定のプラズ
    マ処理の結果に実質的に影響を与えない不活性ガスを前
    記処理チャンバ内へ導入する第2の工程とを少なくとも
    1回ずつ設けることを特徴とする半導体装置の製造方
    法。
  4. 【請求項4】 前記不活性ガスの導入後には放電を行う
    ことを特徴とする請求項2または請求項3記載の半導体
    装置の製造方法。
  5. 【請求項5】 前記処理ガスの排気状態は、この処理ガ
    スが放電解離条件下で生成し得る化学種の発光スペクト
    ルにもとづいてモニタすることを特徴とする請求項4記
    載の半導体装置の製造方法。
  6. 【請求項6】 前記所定のプラズマ処理は前記処理チャ
    ンバ内で単極式静電チャックを備えたウェハ・ステージ
    上に保持された前記ウェハに対するドライエッチングで
    あり、前記次工程処理はこの単極式静電チャックの残留
    電荷除去であることを特徴とする請求項1ないし請求項
    5のいずれか1項に記載の半導体装置の製造方法。
  7. 【請求項7】 前記次工程処理では、堆積性ガスを放電
    解離させて生成するプラズマを用いて前記単極式静電チ
    ャックの残留電荷除去を行うことを特徴とする請求項6
    記載の半導体装置の製造方法。
  8. 【請求項8】 前記所定のプラズマ処理は前記ウェハ上
    の被エッチング材料層を実施的にその層厚分だけエッチ
    ングするジャストエッチングであり、前記次工程処理は
    前記被エッチング材料層の残余部をエッチングするオー
    バーエッチングであることを特徴とする請求項1ないし
    請求項5のいずれか1項に記載の半導体装置の製造方
    法。
JP32574992A 1992-12-04 1992-12-04 半導体装置の製造方法 Expired - Lifetime JP3259380B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP32574992A JP3259380B2 (ja) 1992-12-04 1992-12-04 半導体装置の製造方法
US08/156,902 US5378311A (en) 1992-12-04 1993-11-24 Method of producing semiconductor device
KR1019930026003A KR100268513B1 (ko) 1992-12-04 1993-12-01 반도체장치의 제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32574992A JP3259380B2 (ja) 1992-12-04 1992-12-04 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH06177091A true JPH06177091A (ja) 1994-06-24
JP3259380B2 JP3259380B2 (ja) 2002-02-25

Family

ID=18180220

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32574992A Expired - Lifetime JP3259380B2 (ja) 1992-12-04 1992-12-04 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US5378311A (ja)
JP (1) JP3259380B2 (ja)
KR (1) KR100268513B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858879A (en) * 1997-06-06 1999-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching metal lines with enhanced profile control
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP2016051846A (ja) * 2014-09-01 2016-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2017147381A (ja) * 2016-02-19 2017-08-24 株式会社日立ハイテクノロジーズ プラズマ処理方法

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0170456B1 (ko) 1993-07-16 1999-03-30 세끼사와 다까시 반도체 장치 및 그 제조방법
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
DE19502777A1 (de) * 1994-02-22 1995-08-24 Siemens Ag Verfahren zur plasmaunterstützten Rückseitenätzung einer Halbleiterscheibe bei belackungsfreier Scheibenvorderseite
TW293231B (ja) * 1994-04-27 1996-12-11 Aneruba Kk
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5605603A (en) * 1995-03-29 1997-02-25 International Business Machines Corporation Deep trench process
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5763327A (en) * 1995-11-08 1998-06-09 Advanced Micro Devices, Inc. Integrated arc and polysilicon etching process
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
JP3163973B2 (ja) * 1996-03-26 2001-05-08 日本電気株式会社 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
US5950106A (en) * 1996-05-14 1999-09-07 Advanced Micro Devices, Inc. Method of patterning a metal substrate using spin-on glass as a hard mask
KR100267784B1 (ko) * 1996-12-26 2001-04-02 김영환 정전척의 정전력 회복방법
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6297167B1 (en) * 1997-09-05 2001-10-02 Advanced Micro Devices, Inc. In-situ etch of multiple layers during formation of local interconnects
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6322714B1 (en) * 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6744346B1 (en) 1998-02-27 2004-06-01 Micron Technology, Inc. Electronic device workpieces, methods of semiconductor processing and methods of sensing temperature of an electronic device workpiece
US6159860A (en) * 1998-07-17 2000-12-12 Advanced Micro Devices, Inc. Method for etching layers on a semiconductor wafer in a single etching chamber
US6967497B1 (en) * 1998-08-21 2005-11-22 Micron Technology, Inc. Wafer processing apparatuses and electronic device workpiece processing apparatuses
US6229322B1 (en) * 1998-08-21 2001-05-08 Micron Technology, Inc. Electronic device workpiece processing apparatus and method of communicating signals within an electronic device workpiece processing apparatus
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6554004B1 (en) 2000-11-07 2003-04-29 Motorola, Inc. Method for removing etch residue resulting from a process for forming a via
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
EP1321977A1 (en) * 2001-12-17 2003-06-25 AMI Semiconductor Belgium BVBA Method for reducing residual electric charge created by a previous process step on a conductive structure
JP2005516405A (ja) * 2002-01-25 2005-06-02 東京エレクトロン株式会社 超臨界二酸化炭素プロセス中の汚染物の形成を低減する方法
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US7399708B2 (en) * 2005-03-30 2008-07-15 Tokyo Electron Limited Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070211402A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing apparatus, substrate attracting method, and storage medium
US20080124485A1 (en) * 2006-11-27 2008-05-29 United Microelectronics Corp. Method of successively depositing multi-film releasing plasma charge
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
JP2010040822A (ja) * 2008-08-06 2010-02-18 Tokyo Electron Ltd 静電吸着装置の除電処理方法、基板処理装置、及び記憶媒体
CN105103274B (zh) * 2014-02-28 2016-12-14 株式会社爱发科 等离子体蚀刻方法、等离子体蚀刻装置、等离子体处理方法及等离子体处理装置
JP6194515B2 (ja) * 2014-06-30 2017-09-13 豊田合成株式会社 サファイア基板の製造方法およびiii族窒化物半導体発光素子の製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0478133A (ja) * 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858879A (en) * 1997-06-06 1999-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching metal lines with enhanced profile control
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP2016051846A (ja) * 2014-09-01 2016-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2017147381A (ja) * 2016-02-19 2017-08-24 株式会社日立ハイテクノロジーズ プラズマ処理方法

Also Published As

Publication number Publication date
JP3259380B2 (ja) 2002-02-25
KR100268513B1 (ko) 2000-11-01
US5378311A (en) 1995-01-03

Similar Documents

Publication Publication Date Title
JP3259380B2 (ja) 半導体装置の製造方法
US10629473B2 (en) Footing removal for nitride spacer
US6136211A (en) Self-cleaning etch process
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
JPH0982687A (ja) 半導体装置の製造方法
KR20020070255A (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
JP3277394B2 (ja) 半導体装置の製造方法
JPH0950986A (ja) 接続孔の形成方法
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
JP4008352B2 (ja) 絶縁膜のエッチング方法
US6020111A (en) Method of manufacturing semiconductor device with patterned lamination of Si film and metal film
EP1042791B1 (en) Improved techniques for etching with a photoresist mask
KR20020041447A (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
JPH0729879A (ja) 半導体装置の製造方法
JP3319083B2 (ja) プラズマ処理方法
US5968278A (en) High aspect ratio contact
KR20040035596A (ko) 드라이 에칭 방법
JP2009076711A (ja) 半導体装置の製造方法
JP4216922B2 (ja) 酸化膜のエッチング方法
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
JP2001156041A (ja) 半導体装置の製造方法及びその製造装置
JP4577328B2 (ja) 半導体装置の製造方法
JP3351003B2 (ja) 半導体装置の製造方法
JP3297963B2 (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20011113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071214

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081214

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091214

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091214

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101214

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111214

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121214

Year of fee payment: 11

EXPY Cancellation because of completion of term