JPH0950986A - 接続孔の形成方法 - Google Patents

接続孔の形成方法

Info

Publication number
JPH0950986A
JPH0950986A JP2959996A JP2959996A JPH0950986A JP H0950986 A JPH0950986 A JP H0950986A JP 2959996 A JP2959996 A JP 2959996A JP 2959996 A JP2959996 A JP 2959996A JP H0950986 A JPH0950986 A JP H0950986A
Authority
JP
Japan
Prior art keywords
film
etching
connection hole
insulating film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2959996A
Other languages
English (en)
Inventor
Tetsuji Nagayama
哲治 長山
Masaki Minami
正樹 南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2959996A priority Critical patent/JPH0950986A/ja
Priority to MYPI96001970A priority patent/MY115808A/en
Priority to US08/653,453 priority patent/US5997757A/en
Priority to EP05016009A priority patent/EP1608010A2/en
Priority to EP19960108467 priority patent/EP0746017A3/en
Priority to EP05007742A priority patent/EP1557879A3/en
Priority to KR1019960018305A priority patent/KR960042975A/ko
Publication of JPH0950986A publication Critical patent/JPH0950986A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31909Next to second addition polymer from unsaturated monomers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】 SiNエッチング停止膜7を用いる自己整合
コンタクト・プロセスにおいて、選択比確保に必要なフ
ルオロカーボン(FC)系保護膜11を後工程で十分に
除去し、コンタクト不良やゲート電極3と上層配線との
短絡を防止する。 【解決手段】 レジスト・パターン9をマスクとし、フ
ルオロカーボン系ガスを用いてSiOx層間絶縁膜8を
ドライエッチングすると、SiNエッチング停止膜7の
表面にFC系保護膜11が堆積してエッチングの進行が
事実上停止する。そこで、O2 プラズマ処理を行ってF
C系保護膜11を除去した後にSiNエッチング停止膜
7の露出部を選択的にドライエッチングし、コンタクト
ホール10を完成させる。FC系保護膜11の除去と同
時にレジスト・パターン9の全体を除去しても良い。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体製造等の微細
デバイス加工分野に適用される接続孔の形成方法に関
し、特にエッチング停止膜を用いる自己整合コンタクト
・プロセスにおいて、十分なエッチング選択比を容易に
確保する方法に関する。
【0002】
【従来の技術】0.3μm以降のデザイン・ルールが適
用される微細な半導体デバイスの製造プロセスでは、接
続孔の設計余裕を下層配線との位置合わせのバラつきを
考慮して決定すると、接続孔の設計寸法(=ホール径+
設計余裕)が大きくなり過ぎる問題が生じている。この
位置合わせのバラつきは、フォトリソグラフィで用いら
れる縮小投影露光装置のアライメント性能の不足に起因
するものである。しかもこのバラつきは、半導体プロセ
スに含まれる様々なスケーリング・ファクターの中でも
特にスケール・ダウンが困難な項目であり、解像度以上
に露光技術の限界を決定する要因であるとすら言われて
いる。
【0003】このような背景から、位置合わせのための
設計余裕をフォトマスク上で不要にできる自己整合コン
タクト(SAC)プロセスが関心を集めている。このプ
ロセスには色々な種類があるが、露光工程が増えないこ
とから最もよく検討されているのは、窒化シリコン(S
iN)膜をエッチング停止膜として用いる方法である。
【0004】この方法について、図11を参照しながら
説明する。
【0005】図11は、SRAMのメモリセルにおい
て、隣接するゲート電極(ワード線)の間で上層配線
(ビット線)を基板にコンタクトさせるためのコンタク
ト・ホールを途中まで開口した状態を示している。ここ
までの工程を説明すると、概略以下の通りである。ま
ず、予めウェル形成や素子分離を行ったシリコン基板8
1(Si)の表面に、熱酸化により形成されたゲート酸
化膜82(SiO2 )を介してゲート電極83(pol
ySi/WSix)を形成する。これらゲート電極83
は、いずれもその上面をオフセット酸化膜84(SiO
x)、側面をサイドウォール85(SiOx)にそれぞ
れ被覆されている。また、シリコン基板81の表層部に
は、LDD構造を有するソース/ドレイン領域86を、
上記ゲート電極83および上記サイドウォール85に対
して自己整合的に形成する。
【0006】かかる基体の全面にはSiNエッチング停
止膜87をコンフォーマルに形成した後、SiOx層間
絶縁膜88を堆積させて表面を略平坦化する。さらに、
このSiOx層間絶縁膜88上でフォトリソグラフィを
行い、レジスト・パターン89(PR)を形成する。こ
のレジスト・パターン89の開口は、隣接するゲート電
極83間の配線間スペースに比べて十分に大きい。
【0007】次に、上記レジスト・パターン89をマス
クとし、SiNエッチング停止膜87に対して十分に大
きな選択比を確保できる条件でSiOx層間絶縁膜88
をドライエッチングする。ただし、SiN膜とSiOx
膜のエッチング特性を比較すると、両者は各々の結晶格
子を構成する化学結合のエネルギーが近接しており、エ
ッチング種も基本的に共通であり、しかも下地のSiN
膜の方がF* (フッ素ラジカル)によるエッチング速度
がやや速い。このため、SiN膜上におけるSiOx膜
の高選択エッチングは従来より極めて難度の高いものと
されてきた。しかし近年、ECRプラズマ,誘導結合プ
ラズマ(ICP),ヘリコン波プラズマといった、イオ
ン電流密度5mA/cm2 以上を達成可能ないわゆる高
密度プラズマ源が種々提案されるに至り、上記のドライ
エッチングにはフルオロカーボン系ガスのプラズマを用
い、このとき生成するフルオロカーボン(FC)系ポリ
マーを下地表面に堆積させてFC系保護膜91を形成す
ることにより選択比を確保する方法が主流となってきて
いる。FC系保護膜の堆積によるエッチング速度の低下
は、高密度プラズマ中でエッチング種を大量生成させる
ことによりカバーするという発想である。
【0008】このときのエッチング選択比が十分に大き
ければ、SiOx層間絶縁膜88のエッチングはSiN
エッチング停止膜87に達した時点でその速度を急激に
低下させるので、オフセット酸化膜84やサイドウォー
ル85の侵食が防止される。図11は、ここまでのプロ
セスを終了した状態を示している。この後、SiN用の
エッチング条件に切り替えてSiNエッチング停止膜8
7を選択的にエッチングすれば、コンタクトホール90
を完成することができる。
【0009】
【発明が解決しようとする課題】しかしながら、上述の
ようにSiOx層間絶縁膜88のドライエッチングが首
尾良く行えたとしても、続くSiNエッチング停止膜8
7のドライエッチングを良好に行うことは実際にはかな
り難しく、図12に示されるように、コンタクトホール
90の底面にエッチング残渣87rが残存する場合が多
い。これは、SiNエッチング停止膜87の表面に堆積
したFC系保護膜91が、そのエッチング耐性ゆえに不
均一なエッチング・マスクとして機能するためである。
かかる絶縁性のエッチング残渣87rは、実効的なコン
タクト面積を縮小させ、コンタクト抵抗の上昇あるいは
コンタクト不良の原因となるため、上層配線の形成前に
除去しておかねばならない。
【0010】この問題への対策としては、SiNエッチ
ング停止膜87のドライエッチングにイオン・スパッタ
作用を強めた条件を採用することによりFC系保護膜9
1を物理的に除去したり、あるいは過剰なオーバーエッ
チングを行ってエッチング残渣87rを除去することが
考えられる。しかし、このような対策を講ずると、図1
3に示されるようにオフセットSiOx膜84やサイド
ウォール85が侵食され、コンタクトホール90の内部
にゲート電極83が一部露出する虞れが大きい。この状
態のままコンタクトホール90を上層配線92(Al)
で被覆すると、図14に示されるように上層配線92と
ゲート電極83とが短絡してしまう。
【0011】このように、SiN系材料膜をエッチング
停止膜として用いる従来のSACプロセスでは、対Si
N高選択化を図る上で必要なエッチング耐性の高いFC
系保護膜を後工程において十分に除去し得ないことが、
プロセスの信頼性を損なう原因となっている。そこで本
発明はこの問題を解決し、信頼性の高いSACプロセス
を実現できる接続孔の形成方法を提供することを目的と
する。
【0012】
【課題を解決するための手段】本発明の接続孔の形成方
法は、上述の目的を達成するために提案されるものであ
り、基板上にSiN系材料からなるエッチング停止膜
(以下、SiNエッチング停止膜と称する。)とSiO
x系材料からなる層間絶縁膜(以下、SiOx層間絶縁
膜と称する。)がこの順に積層されてなる積層系に有機
膜パターンをマスクとして接続孔を開口するに際し、該
SiOx層間絶縁膜のエッチングに伴って生成し、Si
Nエッチング停止膜の露出面に堆積したカーボン系保護
膜を、酸素系化学種の関与するエッチング反応系を用い
て、続くSiNエッチング停止膜のエッチング前または
そのエッチングと同時に除去するものである。上記の積
層系は、典型的にはSACプロセスに用いられる。
【0013】ここで、SiOx層間絶縁膜のドライエッ
チング、カーボン系保護膜の除去、およびSiNエッチ
ング停止膜のエッチングの3工程をすべてプラズマ・プ
ロセスとして行う場合には、これら3工程のうち少なく
とも連続する2工程を同一のプラズマ・チャンバ内に前
記基板を保持したままで行えば、スループット向上およ
びパーティクル管理の観点から非常に有利である。特
に、プラズマ・チャンバ内で基板(ウェハ)を単極式静
電チャックを備えた基板ステージ(ウェハ・ステージ)
上に載置した状態で上記エッチングを行う場合には、カ
ーボン系保護膜の除去を該単極式静電チャックの残留電
荷を除去するためのプラズマ放電を兼ねて行うことがで
きる。また、SiOx層間絶縁膜のドライエッチング、
およびカーボン系保護膜とSiNエッチング停止膜との
同時エッチング除去の2工程をいずれもプラズマ・プロ
セスとして行う場合にも、これら2工程を同一のプラズ
マ・チャンバ内で行うことが可能である。
【0014】
【発明の実施の形態】本発明は、カーボン系保護膜の除
去を、酸素系化学種を活性種の主体とするプラズマを用
いて行う点を大きな特色としている。このカーボン系保
護膜は、SiOx層間絶縁膜のドライエッチングに典型
的に用いられるフルオロカーボン系化合物あるいはフル
オロハイドロカーボン系化合物の放電分解生成物である
化学種が重合して生成するものである。したがって、こ
のカーボン系保護膜は実質的にはフルオロカーボン(F
C)系ポリマーである。このため、カーボン系保護膜の
除去は、酸素系化学種、特にO* (酸素ラジカル)を活
性種の主体とするプラズマを用いることで可能となる。
このことは、レジスト・パターンに代表される有機膜パ
ターンの除去がO2 プラズマ・アッシングにより行われ
ることからも妥当である。あるいは、FC系保護膜の除
去過程にレジスト・アッシングの機能を持たせることも
可能である。上記プラズマは、少なくともO2 を含むガ
スを放電させることにより励起することができる。上記
カーボン系保護膜をSiNエッチング停止膜と同時に除
去する場合には、該SiNエッチング停止膜のエッチン
グ種も必要であるが、この場合のプラズマは、少なくと
もフルオロカーボン系化合物とO2 とを含むガスを放電
させて励起することができる。
【0015】ところで、本発明におけるカーボン系保護
膜の除去はアッシャーを用いてももちろん可能である
が、この場合にはSiOx層間絶縁膜のエッチングを行
ったエッチャーからウェハWを一旦搬出し、次にアッシ
ャーに搬入し、アッシング終了後にこれを搬出し、さら
にSiNエッチング停止膜をエッチングするためのエッ
チャーに搬入する、といった煩雑な手順を踏むことにな
る。ただしこのことは、ウェハWのパーティクル汚染の
機会を増大させるのみならず、真空度の高いプラズマ・
チャンバを使用する場合にはチャンバの真空引きの負担
を増大させ、スループットを大幅に損なう虞れがある。
【0016】この問題に対する有効な解決策のひとつと
して、高密度プラズマ装置を用いた連続処理を挙げるこ
とができる。すなわち、SiOx層間絶縁膜のドライエ
ッチング、カーボン系保護膜の除去、SiNエッチング
停止のエッチング、あるいはカーボン系保護膜とSiN
エッチング停止膜の同時除去のうち、連続する任意の2
工程、あるいは3工程をひとつのプラズマ・チャンバ内
で済ませることである。以下、かかる高密度プラズマ装
置の具体的な構成例として、有磁場マイクロ波プラズマ
・エッチャー、誘導結合プラズマ(ICP)エッチャ
ー、ヘリコン波プラズマ・エッチャーについてそれぞれ
図面を参照しながら説明する。
【0017】図8は、有磁場マイクロ波プラズマ・エッ
チャーの概略断面図である。この装置の基本的な構成要
素は、2.45GHzのマイクロ波を発生するマグネト
ロン21、マイクロ波を導く矩形導波管22および円形
導波管23、上記マイクロ波を利用してECR(電子サ
イクロトロン共鳴)放電により内部にECRプラズマP
E を生成させるための石英製のベルジャ24、上記円形
導波管23と上記ベルジャ24を周回するように配設さ
れ8.75×10-2T(875G)の磁場強度を達成で
きるソレノイド・コイル25、上記ベルジャ24に接続
され、排気孔34を通じて矢印A方向に高真空排気され
る試料室26、上記ベルジャ24へ処理に必要なガスを
それぞれ矢印B1 ,B2 方向から供給するガス導入管2
7、ウェハWを載置するためのウェハ・ステージ29、
ウェハWをウェハ・ステージ29へ固定するためのウェ
ハ・クランプ28、ウェハ・ステージ29に埋設されウ
ェハWをクーロン力によりその表面に密着させるための
静電チャック30、同じくウェハ・ステージ29に埋設
され、図示されないチラー等の冷却設備から供給される
冷媒を矢印C1 ,C2 方向に循環させてウェハWを所定
の温度に冷却するための冷却配管31、上記ウェハ・ス
テージ29にRFバイアスを印加するため、マッチング
・ネットワーク32を介して接続されるRF電源33等
である。
【0018】図9は誘導結合プラズマ(ICP)の概略
断面図である。この装置のプロセス・チャンバ42の壁
面の大部分はステンレス鋼で構成されているが、軸方向
の一部は石英からなるシリンダ44とされており、この
外周側にマルチターン・アンテナ45が巻回されてい
る。また、上部電極として機能する上蓋41は、ポリシ
リコンまたは単結晶シリコンにより構成されている。上
記マルチターン・アンテナ45には、インピーダンス整
合用の第1のマッチング・ネットワーク(M/N)46
を介してプラズマ励起用RF電源47が接続されてい
る。このプラズマ励起用RF電源47の周波数は、たと
えば2MHzである。
【0019】上記プロセス・チャンバ42の内部は、図
示されない排気系統により排気孔43を通じて矢印D方
向に高真空排気されており、また適当な部位(図9では
底面)に開口されるガス供給管49より矢印E方向にド
ライエッチングに必要なガスの供給を受けるようになさ
れている。
【0020】プロセス・チャンバ42はまた、その壁面
から電気的に絶縁された導電性のウェハ・ステージ51
を収容しており、この上に被処理基板としてたとえばウ
ェハWを載置し、これをウェハ・クランプ50で固定し
て所定のドライエッチングを行うようになされている。
このウェハ・ステージ51には、誘導結合プラズマPI
中から入射するイオンのエネルギーを制御するためにウ
ェハWに基板バイアスを印加するバイアス印加用RF電
源55が、第2のマッチング・ネットワーク(M/N)
54を介して接続されている。ここで、バイアス印加用
RF電源55の周波数は、1.8MHzである。また、
上記ウェハ・ステージ51の内部には、冷媒を矢印F
1 ,F2 方向に循環させるための冷却配管53が埋設さ
れ、さらに該冷媒によるウェハWの冷却効率を高める目
的で該ウェハWをウェハ・ステージ51に密着保持させ
るための静電チャック52が配されている。
【0021】さらに図10は、ヘリコン波プラズマ・エ
ッチャーの概略断面図である。このエッチャーのプラズ
マ生成部は、内部にヘリコン波プラズマPH を生成させ
るためのベルジャ61、このベルジャ61を周回し、R
Fパワーをプラズマへカップリングさせるためのアンテ
ナ62、上記ベルジャ61を周回するごとく設けられ、
該ベルジャ61の軸方向に沿った磁界を生成させるソレ
ノイド・コイル63を主な構成要素とする。
【0022】上記ベルジャ41は非導電性の材料、たと
えば石英より構成される。また、上記ソレノイド・コイ
ル63は、主としてヘリコン波の伝搬に寄与する内周側
ソレノイド・コイル63aと、主としてヘリコン波プラ
ズマPH の輸送に寄与する外周側ソレノイド・コイル6
3bから構成されている。上記アンテナ62にはプラズ
マ励起用RF電源76からインピーダンス整合用の第1
のマッチング・ネットワーク(M/N)75を介してR
Fパワーが印加される。ここでは、上記プラズマ励起用
RF電源76の周波数を13.56MHzとした。この
とき、上記アンテナ62が図10に示されるようなm=
0モード励起用のダブルループ型アンテナであれば、上
下2個のループ間の距離は所望のヘリコン波の波数に応
じて最適化されており、またこれらのループには互いに
逆周り方向の電流が流れる。ただし、上記アンテナ62
の形式はダブルループ型に限られるものではなく、たと
えばm=1モード励起用のハーフターン型としても良
い。
【0023】上記ベルジャ61は試料室64に接続さ
れ、上記ソレノイド・コイル63が形成する発散磁界に
沿って該試料室64の内部へヘリコン波プラズマPH
引き出すようになされている。試料室64の側壁面およ
び底面は、ステンレス鋼等の導電性材料を用いて構成さ
れている。その内部は、図示されない排気系統により排
気孔66を通じて矢印G方向に高真空排気されており、
天井部に開口されるガス供給管65より矢印H方向にド
ライエッチングに必要なガスの供給を受け、さらにその
側壁面においてゲート・バルブ74を介し、たとえば図
示されないロード・ロック室に接続されている。
【0024】上記試料室64の内部には、その壁面から
電気的に絶縁された導電性のウェハ・ステージ69が収
容され、この上にウェハWを載置し、これをウェハ・ク
ランプ68で固定して所定のドライエッチングを行うよ
うになされている。上記ウェハ・ステージ69には、プ
ロセス中のウェハWを所望の温度に維持するために、図
示されないチラーから冷媒の供給を受け、これを矢印I
1 ,I2 方向に循環させるための冷却配管71が埋設さ
れている。また、この冷媒によるウェハWの冷却効率を
高める目的で、該ウェハWをウェハ・ステージ69に密
着保持させるための静電チャック70が配されている。
さらに上記ウェハ・ステージ69には、プラズマ中から
入射するイオンのエネルギーを制御するためにウェハW
に基板バイアスを印加するバイアス印加用RF電源73
が、第2のマッチング・ネットワーク(M/N)72を
介して接続されている。上記バイアス印加用RF電源7
2の周波数は、たとえば400kHzである。
【0025】さらに、上記試料室64の外部には、上記
ウェハ・ステージ69近傍における発散磁界を収束させ
るために、補助磁界生成手段としてマルチカスプ磁場を
生成可能な永久磁石67が配設されている。
【0026】以上、3種類のエッチャーについて概略を
説明したが、これらの装置において連続処理を行う際
は、供給するガスの種類,マイクロ波電力やRF電力等
のソース・パワー,RFバイアス・パワー等のエッチン
グ条件を逐次切り替えれば良い。
【0027】なお本発明は、SACプロセスに適用する
ことが極めて好適である。すなわち、前記基板上には予
め、上面および側面がそれぞれSiOx系材料からなる
オフセット絶縁膜とサイドウォール絶縁膜とに被覆され
た電極パターンが形成され、前記接続孔は底面の一部が
少なくとも該サイドウォール絶縁膜上にかかるごとく開
口されるようなプロセスにおいて、上記オフセット絶縁
膜とサイドウォール絶縁膜はSiNエッチング停止膜に
より侵食から保護される。しかも、保護の役目を果たし
終えたSiNエッチング停止膜そのものは残渣を生ずる
ことなくきれいに除去される。したがって、本発明によ
れば完成した接続孔に埋め込まれる上部電極と上記の電
極パターンとの間の絶縁膜の耐圧不良を招くことなく、
良好なコンタクトを達成することが可能となる。
【0028】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0029】実施例1 本実施例は、2本のワード線の間でSRAMのビット線
引出し電極を基板にコンタクトさせるSACプロセスに
関するものであり、SiOx層間絶縁膜を有磁場マイク
ロ波プラズマ・エッチャーでドライエッチングした後、
SiNエッチング停止膜の露出面に堆積したFC系保護
膜をアッシャーで除去し、さらに別の有磁場マイクロ波
プラズマ・エッチャーを用いてSiNエッチング停止膜
をドライエッチングする例である。このプロセスを、図
1ないし図5を参照しながら説明する。
【0030】まず、予めウェル形成や素子分離を行った
Si基板1の表面をたとえばパイロジェニック酸化法で
熱酸化することにより、厚さ約8nmのゲート酸化膜2
(SiO2 )を形成した。続いて、たとえば減圧CVD
法により厚さ約140nmのタングステン・ポリサイド
膜と厚さ約50nmのSiOx膜とを順次成膜し、これ
らの膜を共通のレジスト・マスクを介してドライエッチ
ングすることにより、ゲート電極3(polySi/W
Six)とオフセット酸化膜4からなる積層パターンを
形成した。ここで、上記ゲート電極3は、下層側から順
に厚さ約70nmのn+ 型ポリシリコン膜(polyS
i)と、厚さ約70nmのタングステン・シリサイド膜
(WSix )との積層構造を有する。また、ゲート電極
3の線幅および配線間スペースは、共に約0.25μm
とした。
【0031】次に、上記積層パターンをマスクとしてた
とえばAs+ の低濃度イオン注入を行い、シリコン基板
1の表層部にLDD領域を形成した。このときのイオン
注入条件は、たとえばイオン加速エネルギー20ke
V,ドース量6×1012/cm2 とした。次に、基体の
全面に厚さ約150nmのSiOx膜を堆積させた後こ
れを等方的にエッチバックし、上記積層パターンの側壁
面にサイドウォール5を形成した。さらに、先の積層パ
ターンとこのサイドウォール5の双方をマスクとしてA
+ の高濃度イオン注入を行った。このときのイオン注
入条件は、たとえばイオン加速エネルギー20keV,
ドース量3×1015/cm2 とした。さらに1050
℃,10秒間のRTA(ラピッド・サーマル・アニー
ル)を行って不純物(As)を活性化させ、LDD構造
を有するソース/ドレイン領域6を形成した。
【0032】次に、この基体の全面をコンフォーマルに
覆うSiNエッチング停止膜7を、減圧CVD法により
約50nmの厚さに成膜した。さらに、たとえばO3
TEOS常圧CVD法によりSiOx層間絶縁膜8を約
500nmの厚さに成膜して、基体の表面をほぼ平坦化
した。この後、SiOx層間絶縁膜8の上にレジスト・
パターン9を形成した。このときのレジスト・パターニ
ングは、化学増幅系ポジ型フォトレジスト材料(和光純
薬社製,商品名WKR−PT1)とKrFエキシマ・レ
ーザ・ステッパを用いて行い、配線間スペースをカバー
する直径約0.3μmの開口を設けた。図1には、ここ
までのプロセスを終了した状態を示した。
【0033】次に、前掲の図8に示したような市販の有
磁場マイクロ波プラズマ・エッチャーを用い、上記Si
Ox層間絶縁膜8を選択的にエッチングした。ただし、
このエッチャーは、SiOx膜のエッチング用に設計さ
れているので、以下これをECR型酸化膜エッチャーと
称する。このときのエッチング条件は、たとえば CHF3 流量 35SCCM CH22 流量 15SCCM 圧力 0.27Pa マイクロ波パワー 1200W(2.45GHz) RFバイアス・パワー 200W(800kHz) ウェハ温度 30℃ オーバーエッチング率 50% とした。
【0034】上記のエッチングでは、高密度プラズマ中
で豊富に発生するイオンを利用して実用的な速度で異方
性エッチングが進行する。またこれと共に、プラズマ中
に生成するFC系保護膜11が図2に示されるようにS
iNエッチング停止膜7の露出面に堆積して下地選択比
を確保する役目を果たすので、エッチング速度はSiO
x層間絶縁膜8が除去された時点で大きく低下する。こ
のようにして、コンタクトホール10が途中まで形成さ
れた。上記FC系保護膜11がSiOx層間絶縁膜8の
表面には堆積せずSiNエッチング停止膜7の表面にの
み堆積してそのエッチング速度を低下させるのは、Si
Nエッチング停止膜7がSiOx層間絶縁膜8とは異な
り膜中から酸素を放出せず、表面に堆積したFC系保護
膜11を自ら除去する能力を持たないために、その表面
への堆積量がイオン・スパッタ作用による除去量を上回
ってしまうからである。上記エッチングの対SiN選択
比は平坦部で約30、イオン衝撃に弱いコーナー部でも
約25と高い値であった。
【0035】次に、ウェハWをたとえば市販の枚葉式ア
ッシャーに移送し、一例として下記の条件 O2 流量 2000SCCM 圧力 30Pa RFバイアス・パワー 1000W(13.56MHz) ウェハ温度 100℃ 時間 5秒 で酸素プラズマ処理を行った。この工程ではO* (酸素
ラジカル)の寄与によりFC系保護膜11が除去され、
図3に示されるように、コンタクトホール10の底面に
SiNエッチング停止膜7が露出した。このとき、同じ
く有機膜であるレジスト・パターン9のエッジもやや後
退するが、処理時間が短いため、これによるレジスト開
口径の増大は10%程度にとどまった。
【0036】次に、ポリシリコン膜のエッチング用に設
計された別の有磁場マイクロ波プラズマ・エッチャー
(以下、ECR型ポリシリコン膜エッチャーと称す
る。)に上記ウェハWを移送し、上記のSiNエッチン
グ停止膜7の露出部分を選択的に除去した。このときの
エッチング条件は、たとえば CHF3 流量 50SCCM 圧力 0.27Pa マイクロ波パワー 1000W(2.45GHz) RFバイアス・パワー 100W(800kHz) ウェハ温度 30℃ オーバーエッチング率 20% とした。
【0037】上記の条件は、前述のSiOx層間絶縁膜
8のエッチング条件に比べてFC系ポリマーの堆積性を
弱めると共に、O2 ガスでCHF3 ガスの解離を促進し
てF* を大量に生成させ、オフセット酸化膜4やサイド
ウォール5に対して選択比を確保できるように設定され
ている。このエッチングにより、図4に示されるように
コンタクトホール10を完成させた。
【0038】この後、レジスト・パターン9をアッシン
グし、図5に示されるように上記コンタクトホール10
を被覆するごとくAl系多層膜からなる上層配線12
(Al)を形成し、ビット線コンタクトを完成させた。
上記Al系多層膜は、たとえばTi系密着層/Al−1
%Si膜/TiN反射防止膜の3層構造を有するもので
ある。
【0039】本発明によれば、SiNエッチング停止膜
7のドライエッチングの開始前にFC系保護膜を十分に
除去することができるので、該SiNエッチング停止膜
7の残渣が発生したり、あるいはこれを除去するための
過剰なオーバーエッチングを行う必要がない。したがっ
て、ゲート電極3と上層配線12との間の耐圧不良や短
絡、あるいはコンタクト不良を生ずることなく、信頼性
の高い半導体デバイスを作成することができた。
【0040】実施例2 本実施例では、実施例1と同様のSACプロセスに関す
るものであるが、FC系保護膜11の除去のための酸素
プラズマ処理の時間を実施例1よりも延長し、レジスト
・パターン9も一緒に除去した。
【0041】本実施例でサンプルとして用いたウェハW
は、実施例1と同様、図1に示す構造のものである。こ
こでは、まずSiOx層間絶縁膜8のエッチングをEC
R型酸化膜エッチャーを用いて実施例1と同様の条件で
行った後、ウェハWをたとえば市販の枚葉式アッシャー
に移送し、一例として下記の条件 O2 流量 2000SCCM 圧力 30Pa RFバイアス・パワー 1000W(13.56MHz) ウェハ温度 100℃ 時間 60秒 でアッシングを行った。この結果、図6に示されるよう
に、FC系保護膜11とレジスト・パターン9とが同時
に除去され、コンタクト底にSiNエッチング停止膜7
が露出した状態となった。
【0042】この後、ウェハWをECR型ポリシリコン
膜エッチャーに移送し、実施例1と同様の条件でSiN
エッチング停止膜7を選択的に除去して図7に示される
ようなコンタクトホール10を完成させた。さらに、こ
のコンタクトホール10に上層配線12を埋め込んだ。
本実施例によっても、ゲート電極3と上層配線12との
間の耐圧不良や短絡、あるいはコンタクト不良を防止し
ながら信頼性の高い半導体デバイスを製造することがで
きた。
【0043】本実施例では、SiNエッチング停止膜の
除去後にレジスト・アッシングを行う実施例1とは異な
り、レジスト・アッシング時にSi基板1を露出させな
いので、アッシングに伴ってSi露出面に酸化膜が形成
されることがない。したがって、自然酸化膜の成長さえ
抑制できれば、コンタクトホール10の完成後直ちに上
層配線12の埋め込み工程に移ることが可能となり、ス
ループットの向上に極めて有利である。
【0044】実施例3 本実施例では、SiOx層間絶縁膜のドライエッチング
とFC系保護膜の除去を行うチャンバを共通化した。
【0045】本実施例でサンプルとして用いたウェハW
は、実施例1と同様、図1に示す構造のものである。こ
こでは、まずSiOx層間絶縁膜8のエッチングをEC
R型酸化膜エッチャーを用いて実施例1と同様の条件で
行った後、ウェハWを同じチャンバ内に置いたまま、F
C系保護膜11を除去した。このときの放電条件は、た
とえば O2 流量 20SCCM 圧力 0.27Pa マイクロ波パワー 1000W(2.45GHz) RFバイアス・パワー 80W(800kHz) ウェハ温度 30℃ 時間 5秒 とした。
【0046】このプラズマ処理により、実施例1と同
様、図3に示されるようにFC系保護膜11が除去さ
れ、コンタクト底にはSiNエッチング停止膜7が露出
した状態となった。また、これと同時にレジスト・パタ
ーン9のエッジもやや後退したが、プラズマ処理時間が
短かったため、開口径の増加は10%程度にとどまっ
た。この後、ウェハWをECR型ポリシリコン膜エッチ
ャーに移送し、実施例1と同様の条件でSiNエッチン
グ停止膜7を選択的に除去し、レジスト・アッシングを
経て完成したコンタクトホール10に上層配線12を埋
め込んだ。本実施例によっても、ゲート電極3と上層配
線12との間の耐圧不良や短絡、あるいはコンタクト不
良を防止しながら信頼性の高い半導体デバイスを製造す
ることができた。
【0047】実施例4 本実施例では、実施例3と同様、SiOx層間絶縁膜の
ドライエッチングとFC系保護膜の除去とを同一チャン
バ内で行うものであるが、FC系保護膜と同時にレジス
ト・パターンも除去した。
【0048】本実施例でサンプルとして用いたウェハW
は、図1に示す構造のものである。ここでは、まずSi
Ox層間絶縁膜8のエッチングをECR型酸化膜エッチ
ャーを用いて実施例1と同様の条件で行った後、ウェハ
Wを同じチャンバ内に置いたまま、一例として下記の条
件 O2 流量 20SCCM 圧力 0.27Pa マイクロ波パワー 1000W(2.45GHz) RFバイアス・パワー 80W(800kHz) ウェハ温度 30℃ 時間 60秒 でアッシングを行った。この結果、図6に示されるよう
に、FC系保護膜11とレジスト・パターン9とが同時
に除去され、コンタクト底にSiNエッチング停止膜7
が露出した状態となった。
【0049】この後、ウェハWをECR型ポリシリコン
膜エッチャーに移送し、実施例1と同様の条件でSiN
エッチング停止膜7を選択的に除去し、図7に示される
ようなコンタクトホール10を完成させた。さらに、こ
のコンタクトホール10に上層配線12を埋め込んだ。
本実施例によっても、ゲート電極3と上層配線12との
間の耐圧不良や短絡、あるいはコンタクト不良を防止し
ながら信頼性の高い半導体デバイスを高いスループット
をもって製造することができた。
【0050】実施例5 本実施例では、ICPエッチャーを用いてSiOx層間
絶縁膜のドライエッチングを行った後、別のICPエッ
チャーを用いてFC系保護膜とSiNエッチング停止膜
の同時除去を行った。
【0051】本実施例でサンプルとして用いたウェハW
は、実施例1同様、図1に示す構造のものである。ここ
では、まずSiOx層間絶縁膜8のエッチングを、Si
Ox膜のエッチング用に設計されたICPエッチャーを
用いて行った。このエッチャーの構造は、前掲の図9に
示したとおりである。このときの条件はたとえば、 C38 流量 20SCCM Ar流量 40SCCM 圧力 0.27Pa ソース・パワー 2000W(2MHz) RFバイアス・パワー 1000W(1.8MHz) 上部電極温度 250℃ ウェハ温度 30℃ オーバーエッチング率 50% とした。これにより、実施例1と同様、図2に示される
ように、FC系保護膜11の寄与によりSiNエッチン
グ停止膜7に対して約30もの高選択比を達成しながら
SiOx層間絶縁膜8が異方的にエッチングされた。
【0052】次に、ウェハWをポリシリコン膜エッチン
グ用のICPエッチャーに移送して、FC系保護膜11
とSiNエッチング停止膜7とを同時に除去した。この
ときの条件はたとえば、 CHF3 流量 50SCCM O2 流量 30SCCM 圧力 0.27Pa ソース・パワー 1500W(2MHz) RFバイアス・パワー 800W(1.8MHz) 上部電極温度 250℃ ウェハ温度 30℃ オーバーエッチング率 20% とした。
【0053】この工程では、プラズマ中にFC系保護膜
11のエッチング種であるO* (酸素ラジカル)とSi
Nエッチング停止膜7のエッチング種であるF* ,CF
+が同時に生成するため、まず、FC系保護膜11が
除去され、続いてSiNエッチング停止膜7の選択ドラ
イエッチングが進行する。もちろん、SiNエッチング
停止膜7のエッチング中に生成するFC系ポリマーも、
即時に除去される。この結果、SiNエッチング停止膜
7は残渣を生ずることなくきれいに除去され、最終的に
は上述の各実施例と同様、信頼性の高い半導体デバイス
を製造することができた。
【0054】実施例6 本実施例では、ヘリコン波プラズマ・エッチャーを用い
てSiOx層間絶縁膜のドライエッチングを行い、この
ときのウェハ保持に用いた単極式静電チャックの残留電
荷を除去するためのプラズマ放電時にFC系保護膜を同
時に除去し、さらに別のヘリコン波プラズマ・エッチャ
ーを用いてSiNエッチング停止膜をドライエッチング
した。
【0055】本実施例でサンプルとして用いたウェハW
は、実施例1同様、図1に示す構造のものである。ここ
では、まずSiOx層間絶縁膜8のエッチングを、Si
Ox膜のエッチング用に設計されたヘリコン波プラズマ
・エッチャーを用いて行った。このエッチャーの構造
は、前掲の図10に示したとおりである。
【0056】なお、ここで用いる静電チャック70の形
式は、単極式と呼ばれるものである。これは、絶縁部材
中に埋設された単一の内部電極に所定の極性の直流電圧
を印加し、対向アースはプラズマを経由してプラズマ・
チャンバの壁を通じてとる方式である。かかる単極式静
電チャックを用いた場合、ドライエッチング終了後に直
流電圧の印加を停止しても電荷が残留するので、ウェハ
Wをウェハ・ステージ69から引き離すためには再度プ
ラズマを励起させ、このプラズマを通じて残留電荷を放
電させなければならない。この残留電荷放電用のプラズ
マは、それ以前のプラズマ処理の結果に実質的に影響を
与えないガスを供給して励起するのが一般的であるが、
本実施例ではこのガスとして、FC系保護膜11のエッ
チング種を発生可能なO2 を用いるのである。
【0057】まず、SiOx層間絶縁膜8のドライエッ
チングは、たとえば c−C48 流量 50SCCM Ar流量 200SCCM 圧力 0.27Pa ソース・パワー 2500W(13.56MHz) RFバイアス・パワー 200W(400kHz) ウェハ温度 30℃ オーバーエッチング率 50% なる条件で行った。これにより、実施例1と同様、図2
に示されるように、FC系保護膜11の寄与によりSi
Nエッチング停止膜7に対して約30もの高選択比を達
成しながらSiOx層間絶縁膜8が異方的にエッチング
された。
【0058】次に、単極式静電チャックの残留電荷除去
とFC系保護膜11の除去を兼ねた放電を、一例として
下記の条件 O2 流量 30SCCM 圧力 0.27Pa ソース・パワー 1500W(13.56MHz) RFバイアス・パワー 100W(400kHz) ウェハ温度 30℃ 時間 10秒 で行った。この結果、FC系保護膜11が除去され、図
3に示されるように、コンタクト底にSiNエッチング
停止膜7が露出した。このときのレジスト・パターン9
の開口径の増大は、10%程度にとどまった。また、こ
のようにプロセスが兼用化されることにより、スループ
ットも向上した。
【0059】次に、ウェハWをポリシリコン膜エッチン
グ用の別のヘリコン波プラズマ・エッチャーに移送し、
SiNエッチング停止膜7を選択的にドライエッチング
した。このときの条件は、たとえば、 CHF3 流量 50SCCM 圧力 0.27Pa ソース・パワー 1500W(13.56MHz) RFバイアス・パワー 200W(400kHz) ウェハ温度 30℃ オーバーエッチング率 20% とした。この結果、配線短絡の可能性や残渣発生のない
コンタクト形状が得られた。この後の工程としては、前
述の各実施例と同様、レジスト・パターン9をアッシン
グにより除去し、上層配線12を形成した。
【0060】なお、上記SiNエッチング停止膜7のエ
ッチングにおいて、ガスにO2 をたとえば20SCCM
程度添加しても良い。このようにすれば、たとえFC系
保護膜11が若干残存していても、前述の実施例3と同
様の機構によりこれを完全に除去しながらSiNエッチ
ング停止膜7のエッチングを進めることができる。
【0061】以上、6例の実施例について説明したが、
本発明はこれらの実施例に何ら限定されるものではな
い。たとえば、上述の実施例では、SiOx層間絶縁膜
のドライエッチングとFC系保護膜(あるいはこれに加
えてレジスト・パターン)の除去におけるチャンバの共
通化、およびFC系保護膜の除去とSiNエッチング停
止膜のドライエッチングにおけるチャンバの共通化につ
いて説明した。このように連続する2工程におけるチャ
ンバ共通化のみを具体的に説明したのは、通常のエッチ
ャーがその用途に応じて最適化された設計を有してお
り、具体的には酸化膜エッチャーとポリシリコン膜エッ
チャーといった様に個別に設計されているからである。
しかし、ガス供給系統やチャンバ内クリーニング手段に
所定の工夫を施したエッチャーであれば、SiOx層間
絶縁膜のドライエッチング、FC系保護膜(あるいはこ
れに加えてレジスト・パターン)の除去、SiNエッチ
ング停止膜のドライエッチングのすべての工程について
チャンバの共通化を図ることも、もちろん可能である。
【0062】この他、デザイン・ルール、サンプル・ウ
ェハの構成、使用するエッチャーの種類およびその構
造、ドライエッチング条件、酸素プラズマ処理条件等の
細部が適宜変更または選択可能であることは言うまでも
ない。
【0063】
【発明の効果】以上の説明からも明らかなように、本発
明の接続孔の形成方法によれば、特にSiN系材料膜を
エッチング停止膜として用いるSACプロセスにおい
て、対SiN高選択化を図る上で必要なエッチング耐性
の高いFC系保護膜を後工程において十分に除去するこ
とが可能となり、これによりプロセスの信頼性を向上さ
せることができる。本発明は、かかる接続孔の形成の改
良を通じて、半導体装置の高集積化,微細化,高性能
化,高信頼化に大きく貢献するものである。
【図面の簡単な説明】
【図1】本発明をSACプロセスにもとづくSRAMの
ビット線コンタクト形成に適用した実施例において、レ
ジスト・パターニングが終了した状態を示す模式的断面
図である。
【図2】図1のSiOx層間絶縁膜の選択ドライエッチ
ングが終了し、SiNエッチング停止膜の表面にFC系
保護膜が堆積した状態を示す模式的断面図である。
【図3】図2のFC系保護膜を除去し、SiNエッチン
グ停止膜を露出させた状態を示す模式的断面図である。
【図4】図3のSiNエッチング停止膜を選択的にドラ
イエッチングしてコンタクトホールを完成させた状態を
示す模式的断面図である。
【図5】図4のコンタクトホールを上層配線で埋め込ん
だ状態を示す模式的断面図である。
【図6】本発明をSACプロセスにもとづくSRAMの
ビット線コンタクト形成に適用した他の実施例におい
て、FC系保護膜とレジスト・パターンとを同時に除去
した状態を示す模式的断面図である。
【図7】図6のSiNエッチング停止膜を選択的にドラ
イエッチングしてコンタクトホールを完成させた状態を
示す模式的断面図である。
【図8】本発明で用いられる有磁場マイクロ波プラズマ
・エッチャーの構成例を示す概略断面図である。
【図9】本発明で用いられる誘導結合プラズマ(IC
P)エッチャーの構成例を示す概略断面図である。
【図10】本発明で用いられるヘリコン波プラズマ・エ
ッチャーの構成例を示す概略断面図である。
【図11】従来のSACプロセスにもとづくSRAMの
ビット線コンタクト形成において、SiOx層間絶縁膜
の選択ドライエッチングが終了し、SiNエッチング停
止膜の表面にFC系保護膜が堆積した状態を示す模式的
断面図である。
【図12】図11のSiNエッチング停止膜のドライエ
ッチング後、コンタクトホール底にエッチング残渣が残
存した状態を示す模式的断面図である。
【図13】図12のSiNエッチング停止膜のオーバー
エッチングによりゲート電極の一部がコンタクトホール
内に露出した状態を示す模式的断面図である。
【図14】図13のゲート電極とコンタクトホールに埋
め込まれた上層配線との間で短絡が発生した状態を示す
模式的断面図である。
【符号の説明】
3 ゲート電極、4 オフセット酸化膜、5 サイドウ
ォール、7 SiNエッチング停止膜、8 SiOx層
間絶縁膜、9 レジスト・パターン、10 コンタクト
ホール、11 FC系保護膜、12 上層配線
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/28 H01L 21/28 L 21/768 9216−2G H05H 1/46 C H05H 1/46 H01L 21/302 A 21/90 D

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 基板上にSiN系材料からなるエッチン
    グ停止膜とSiOx系材料からなる層間絶縁膜とをこの
    順に積層する第1工程と、 前記層間絶縁膜の上に接続孔パターンにならった有機膜
    パターンを形成する第2工程と、 前記有機膜パターンをマスクとし、前記エッチング停止
    膜に対して選択比を確保しながら前記層間絶縁膜をドラ
    イエッチングする第3工程と、 前記ドライエッチングに伴って前記エッチング停止膜の
    露出面上に堆積したカーボン系保護膜を酸素系化学種の
    関与するエッチング反応系を用いて除去する第4工程
    と、 前記エッチング停止膜を選択的にエッチングして接続孔
    を完成させる第5工程とを有する接続孔の形成方法。
  2. 【請求項2】 前記第4工程におけるカーボン系保護膜
    の除去は、酸素系化学種を活性種の主体とするプラズマ
    を用いて行う請求項1記載の接続孔の形成方法。
  3. 【請求項3】 前記プラズマを、少なくともO2 を含む
    ガスを放電させることにより励起する請求項2記載の接
    続孔の形成方法。
  4. 【請求項4】 前記第4工程では、前記カーボン系保護
    膜と共に前記有機膜パターンの全体も除去する請求項2
    記載の接続孔の形成方法。
  5. 【請求項5】 前記第3工程から前記第5工程に至る一
    連の工程については、少なくとも連続する2工程を同一
    のプラズマ・チャンバ内に前記基板を保持したままで行
    う請求項2記載の接続孔の形成方法。
  6. 【請求項6】 前記第3工程における層間絶縁膜のドラ
    イエッチングはプラズマ・チャンバ内で前記基板を単極
    式静電チャックを備えた基板ステージ上に載置した状態
    で行い、前記第4工程におけるカーボン系保護膜の除去
    は該単極式静電チャックの残留電荷を除去するためのプ
    ラズマ放電を兼ねて行う請求項2記載の接続孔の形成方
    法。
  7. 【請求項7】 前記基板上には予め、上面および側面が
    それぞれSiOx系材料からなるオフセット絶縁膜とサ
    イドウォール絶縁膜とに被覆された電極パターンが形成
    され、前記接続孔は底面の一部が少なくとも該サイドウ
    ォール絶縁膜上にかかるごとく開口される請求項1記載
    の接続孔の形成方法。
  8. 【請求項8】 基板上にSiN系材料からなるエッチン
    グ停止膜とSiOx系材料からなる層間絶縁膜とをこの
    順に積層する第1工程と、 前記層間絶縁膜の上に接続孔パターンにならった有機膜
    パターンを形成する第2工程と、 前記有機膜パターンをマスクとし、前記エッチング停止
    膜に対して選択比を確保しながら前記層間絶縁膜をドラ
    イエッチングする第3工程と、 前記ドライエッチングに伴って前記エッチング停止膜の
    露出面上に堆積したカーボン系保護膜と前記エッチング
    停止膜とを、少なくとも酸素系化学種の関与するエッチ
    ング反応系を用いて同時に除去することにより接続孔を
    完成させる第4工程とを有する接続孔の形成方法。
  9. 【請求項9】 前記第4工程における前記カーボン系保
    護膜と前記エッチング停止膜の同時除去は、酸素系化学
    種とSiN系材料用のエッチング種とを同時に生成させ
    得るプラズマを用いて行う請求項8記載の接続孔の形成
    方法。
  10. 【請求項10】 前記プラズマを、少なくともフルオロ
    カーボン系化合物とO2 とを含むガスを放電させて励起
    する請求項9記載の接続孔の形成方法。
  11. 【請求項11】 前記第3工程と前記第4工程とを、同
    一のプラズマ・チャンバ内に前記基板を保持したままで
    行う請求項8記載の接続孔の形成方法。
  12. 【請求項12】 前記基板上には上面および側面がそれ
    ぞれSiOx系材料からなるオフセット絶縁膜とサイド
    ウォール絶縁膜とに被覆された電極パターンが形成さ
    れ、前記接続孔は底面の一部が少なくとも該サイドウォ
    ール絶縁膜上にかかるごとく開口される請求項8記載の
    接続孔の形成方法。
JP2959996A 1995-05-29 1996-02-16 接続孔の形成方法 Pending JPH0950986A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2959996A JPH0950986A (ja) 1995-05-29 1996-02-16 接続孔の形成方法
MYPI96001970A MY115808A (en) 1995-05-29 1996-05-24 Method of forming connection hole
US08/653,453 US5997757A (en) 1995-05-29 1996-05-24 Method of forming connection hole
EP05016009A EP1608010A2 (en) 1995-05-29 1996-05-28 Method of forming connection hole
EP19960108467 EP0746017A3 (en) 1995-05-29 1996-05-28 Method of forming connection hole
EP05007742A EP1557879A3 (en) 1995-05-29 1996-05-28 Method of forming connection hole
KR1019960018305A KR960042975A (ko) 1995-05-29 1996-05-28 접속 홀 형성 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP7-130318 1995-05-29
JP13031895 1995-05-29
JP2959996A JPH0950986A (ja) 1995-05-29 1996-02-16 接続孔の形成方法

Publications (1)

Publication Number Publication Date
JPH0950986A true JPH0950986A (ja) 1997-02-18

Family

ID=26367815

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2959996A Pending JPH0950986A (ja) 1995-05-29 1996-02-16 接続孔の形成方法

Country Status (5)

Country Link
US (1) US5997757A (ja)
EP (3) EP1557879A3 (ja)
JP (1) JPH0950986A (ja)
KR (1) KR960042975A (ja)
MY (1) MY115808A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000044659A (ko) * 1998-12-30 2000-07-15 김영환 반도체 소자 제조를 위한 실리콘산화막의 선택적 식각방법
US6346482B2 (en) 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
JP2002539641A (ja) * 1999-03-15 2002-11-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体の接触抵抗を減少させるための方法
US6849539B2 (en) 2001-04-23 2005-02-01 Nec Corporation Semiconductor device and method of fabricating the same
US7741682B2 (en) 2005-09-15 2010-06-22 Elpida Memory, Inc. Semiconductor integrated circuit device including a silicon layer formed on a diffusion layer

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6077790A (en) * 1997-03-14 2000-06-20 Micron Technology, Inc. Etching process using a buffer layer
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
US5935873A (en) * 1997-09-29 1999-08-10 Siemens Aktiengesellschaft Deposition of carbon into nitride layer for improved selectivity of oxide to nitride etchrate for self aligned contact etching
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6215190B1 (en) * 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6258714B1 (en) * 1999-04-01 2001-07-10 Alliance Semiconductor Corporation Self-aligned contacts for salicided MOS devices
US6090707A (en) * 1999-09-02 2000-07-18 Micron Technology, Inc. Method of forming a conductive silicide layer on a silicon comprising substrate and method of forming a conductive silicide contact
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
JP2003258092A (ja) * 2002-03-01 2003-09-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US6940134B2 (en) * 2002-07-02 2005-09-06 International Business Machines Corporation Semiconductor with contact contacting diffusion adjacent gate electrode
KR100483594B1 (ko) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7045368B2 (en) * 2004-05-19 2006-05-16 Headway Technologies, Inc. MRAM cell structure and method of fabrication
KR100704471B1 (ko) * 2004-10-30 2007-04-10 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20070013012A1 (en) * 2005-07-13 2007-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch-stop layer structure
DE102006004412B3 (de) 2006-01-31 2007-08-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Ätzselektivität in einer Kontaktstruktur in Halbleiterbauelementen
CN102623331A (zh) * 2011-01-26 2012-08-01 上海华虹Nec电子有限公司 Psg层间膜中自对准接触孔的制备方法
EP2908345A1 (en) * 2014-02-13 2015-08-19 IMEC vzw Contact formation in Ge-containing semiconductor devices
US9530887B1 (en) * 2016-02-25 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and manufacturing method thereof
US9711402B1 (en) * 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5911630A (ja) * 1982-07-12 1984-01-21 Toshiba Corp 半導体装置の製造方法
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
US5420077A (en) * 1990-06-29 1995-05-30 Sharp Kabushiki Kaisha Method for forming a wiring layer
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
JP3161040B2 (ja) * 1992-06-16 2001-04-25 ソニー株式会社 半導体装置の製造方法
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
JP3270674B2 (ja) * 1995-01-17 2002-04-02 株式会社半導体エネルギー研究所 半導体集積回路の作製方法
JPH08203998A (ja) * 1995-01-20 1996-08-09 Sony Corp 多層配線の形成方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346482B2 (en) 1998-05-08 2002-02-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an improved contact structure and a manufacturing method thereof
KR20000044659A (ko) * 1998-12-30 2000-07-15 김영환 반도체 소자 제조를 위한 실리콘산화막의 선택적 식각방법
JP2002539641A (ja) * 1999-03-15 2002-11-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体の接触抵抗を減少させるための方法
US6849539B2 (en) 2001-04-23 2005-02-01 Nec Corporation Semiconductor device and method of fabricating the same
US7741682B2 (en) 2005-09-15 2010-06-22 Elpida Memory, Inc. Semiconductor integrated circuit device including a silicon layer formed on a diffusion layer

Also Published As

Publication number Publication date
EP0746017A2 (en) 1996-12-04
MY115808A (en) 2003-09-30
EP0746017A3 (en) 1999-07-07
EP1608010A2 (en) 2005-12-21
KR960042975A (ko) 1996-12-21
EP1557879A3 (en) 2005-08-10
US5997757A (en) 1999-12-07
EP1557879A2 (en) 2005-07-27

Similar Documents

Publication Publication Date Title
JPH0950986A (ja) 接続孔の形成方法
JP3259380B2 (ja) 半導体装置の製造方法
US5411631A (en) Dry etching method
US6165881A (en) Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6914009B2 (en) Method of making small transistor lengths
JP3027951B2 (ja) 半導体装置の製造方法
EP0954877B1 (en) Method for reducing plasma-induced charging damage
JP2502805B2 (ja) コンタクトホ−ル形成方法
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
JP2003258201A (ja) 半導体装置の製造方法
JP3862035B2 (ja) 半導体装置およびその製造方法
JPH11307512A (ja) エッチング方法
JP3700231B2 (ja) 接続孔の形成方法
JP3319083B2 (ja) プラズマ処理方法
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
US20030045113A1 (en) Fabrication method of semiconductor integrated circuit device
JP3259529B2 (ja) 選択エッチング方法
US6756315B1 (en) Method of forming contact openings
US6399497B2 (en) Semiconductor manufacturing process and semiconductor device
JPH0982688A (ja) ドライエッチング方法
JP3348504B2 (ja) ドライエッチング方法
JP2882284B2 (ja) 導電層形成法
JP2867890B2 (ja) 導電層形成法
JP2708018B2 (ja) コンタクトホール形成方法
JP2708019B2 (ja) コンタクトホール形成方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040106