JP2014239091A - プラズマ処理装置及びプラズマ処理方法 - Google Patents

プラズマ処理装置及びプラズマ処理方法 Download PDF

Info

Publication number
JP2014239091A
JP2014239091A JP2013119396A JP2013119396A JP2014239091A JP 2014239091 A JP2014239091 A JP 2014239091A JP 2013119396 A JP2013119396 A JP 2013119396A JP 2013119396 A JP2013119396 A JP 2013119396A JP 2014239091 A JP2014239091 A JP 2014239091A
Authority
JP
Japan
Prior art keywords
frequency power
plasma
time
plasma processing
period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013119396A
Other languages
English (en)
Other versions
JP6180799B2 (ja
JP2014239091A5 (ja
Inventor
未知数 森本
Michikazu Morimoto
未知数 森本
安井 尚輝
Hisateru Yasui
尚輝 安井
康雄 大越
Yasuo Ogoshi
康雄 大越
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2013119396A priority Critical patent/JP6180799B2/ja
Priority to TW102148436A priority patent/TWI492262B/zh
Priority to CN201410030630.3A priority patent/CN104241071B/zh
Priority to KR1020140013111A priority patent/KR101589168B1/ko
Priority to US14/183,556 priority patent/US9336999B2/en
Publication of JP2014239091A publication Critical patent/JP2014239091A/ja
Priority to KR1020160004679A priority patent/KR101819922B1/ko
Priority to US15/132,701 priority patent/US10192718B2/en
Publication of JP2014239091A5 publication Critical patent/JP2014239091A5/ja
Application granted granted Critical
Publication of JP6180799B2 publication Critical patent/JP6180799B2/ja
Priority to US16/050,089 priority patent/US11004658B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

【課題】本発明はプラズマ生成用高周波電力と高周波バイアス電力を時間変調するプラズマ処理装置において、高周波バイアス電力を安定して供給することができるプラズマ処理装置を提供する。【解決手段】本発明は真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と試料を載置する試料台に第二の高周波電力を供給する第二の高周波電源と第二の高周波電源の整合器とを備えるプラズマ処理装置において、第一の高周波電力と第二の高周波電力が時間変調される場合、整合器は時間変調された第二の高周波電力のオン開始時間を開始時間とする所定時間経過後からオン終了時間までの期間とするサンプリング有効期間に整合を行うための情報をサンプリングし、オン終了時間後から次のサンプリング有効期間まではサンプリング有効期間に行われた整合状態を維持する制御が行われることを特徴とする。【選択図】図5

Description

本発明は、プラズマ処理装置及びプラズマ処理方法に係り、特に半導体素子等の試料を加工するために、プラズマを用いて高精度なエッチング処理を施すのに好適なプラズマ処理装置及びプラズマ処理方法に関する。
従来、半導体素子の表面を処理する方法として、半導体素子をプラズマでエッチングする装置が知られている。ここでは、電子サイクロトロン共鳴(Electron Cyclotron Resonance:ECR、以下、ECRと略称する)方式のプラズマエッチング装置を例に従来技術を説明する。
このECR方式では、外部より磁場を印加した真空容器中でマイクロ波によりプラズマを発生する。磁場により電子はサイクロトロン運動し、この周波数とマイクロ波の周波数を共鳴させることで効率よくプラズマを生成できる。半導体素子に入射するイオンを加速するため、高周波電力を概略正弦波にて連続波形で試料に印加している。ここで、試料に印加する高周波電力を以下、高周波バイアスと称する。また試料については一例としてウエハの場合で記述する。
また、プラズマとなるガスには塩素やフッ素などのハロゲンガスが広く使われている。プラズマにより発生したラジカルやイオンと被エッチング材が反応することでエッチングが進行する。エッチング加工を高精度に制御するためには、プラズマ制御によるラジカル種の選定やイオン量の制御を行う必要がある。ラジカルやイオンの制御方法としては、プラズマを時間変調したパルスプラズマ方式がある。パルスプラズマとはプラズマのオンとオフを繰り返すことで解離を制御し、ラジカルの解離状態やイオン密度を制御するものである。パルスプラズマのオンとオフの繰り返し周波数(以下パルス周波数と称する)及び繰り返し周波数の1周期に対するオン時間の比(以下、デューティー比と称する)オン時間とオフ時間の比を制御パラメータとすることで、エッチング加工の高精度制御が可能になる。特許文献1にはパルスプラズマを用いたエッチング加工制御方法が開示されている。
パルスプラズマに対し連続波形の高周波バイアスを適用した場合を図1に示す。プラズマのオフ時間にも高周波バイアスが印加されることになる。一般にプラズマのオフ時間はプラズマ密度が低いため、高周波バイアスからみたインピーダンスが高くなり、ウエハに印加される電圧の振幅値(以下Vppと称する)が高くなる。Vppが高くなることでイオン照射エネルギーが高くなり、ウエハにダメージを引き起こす可能性がある。
このダメージを避ける方法として高周波バイアスをプラズマのオフ期間に印加しない方法がある。一例を図2に示す。高周波バイアスもパルスプラズマと同じように時間変調し、パルスプラズマと同期してオンとオフを繰り返すことでプラズマオフ期間のウエハへのダメージを回避できる。
特開昭59-47733号公報 特表2003-532986号公報
マイクロ波を用いたECR方式のパルスプラズマでは、概してプラズマ生成を行うマイクロ波をパルス化する。マイクロ波のパルス化の方式の一例としては、マイクロ波電源に基準となるパルス信号を入力し、電源内で処理することでパルス状のマイクロ波を出力する方式がある。パルス状のマイクロ波によるプラズマが形成されると、プラズマ密度が図1のように変化する。即ち、従来のECR方式の連続プラズマ方式とは異なりプラズマ密度はマイクロ波のオンと共に増加するが、プラズマ密度が安定するまでに時間がかかる。
また、プラズマ生成に使用するマイクロ波出力を従来広く使用されている連続波形出力方式(以下CWモードと称する)によるものとし、高周波バイアスを時間変調出力方式(以下パルスモードと称する)として高周波バイアスの反射率を測定した結果、図8に示すように反射率は高周波バイアス出力値の5%以下となった。尚、反射率が5%以下程度であればプロセス性能に影響を与えず、電源へのダメージも問題が無い。また、反射率(%)は、高周波バイアスの反射電力を高周波バイアスの出力電力で除した値に100を掛けて算出したものである。
一方、パルスプラズマでは、プラズマ密度安定までの過渡期間が毎周期存在し、パルスプラズマに同期させた高周波バイアスを印加すると、オンとオフの繰り返しにより、プラズマ密度が変化しているため、高周波バイアスからみたプラズマインピーダンスが変化してマッチングを取るのが困難となることがある。
この場合、図2に示すように、反射電力が大きくなり、且つ反射値も安定しない現象が発生する。反射電力値が大きい場合には、実際のウエハへの印加電力と設定電力値との差が大きくなる問題が発生し、高精度な制御ができない。また、反射電力が電源に戻ってくることで、電源にダメージを与えることがある。反射電力値が安定しない場合には、実際のウエハへの印加電力も安定しない。その場合、エッチング加工結果も不安定になることがある。
この問題を解決する方法として、図3のようにプラズマ密度が安定した後に印加する方法が考えられる。この方法では高周波バイアスが印加されていない期間にプラズマがオンの期間があることから、エッチングの条件によっては、その期間にプラズマにより生成したデポがウエハ表面に堆積し、エッチングストップを引き起こす問題が発生する場合がある。
パルスプラズマのマッチングの課題に対して特許文献2にはプラズマがオフからオンに切り替わる過渡の期間に高周波バイアスの出力を低い出力から徐々に高い出力で変化させながら印加する方法が開示されている。しかし、この方法でも同様にエッチング条件によっては、プラズマ密度安定までの過渡期間に高周波バイアスが低いことにより、イオンエネルギーが不足し、過渡期間のプラズマにより生成されたデポによるエッチングストップを引き起こす可能性がある。従来のプラズマ方式と異なりパルスプラズマ方式ではマッチングが大きな課題となる。
また、図2で示したようにプラズマ生成に使用するマイクロ波出力をパルスモード、高周波バイアスもパルスモードとし、高周波バイアスの反射値を測定したところ、高周波バイアスの反射率が5%を超え、且つ反射率が安定しない結果となった。原因はプラズマ生成がパルスモードで行われるパルスプラズマと呼ばれる方式では、図2に示すようにマイクロ波出力がオンとなった後、プラズマ密度が安定するまでに時間がかかる過渡期間が存在するためである。反射が安定せず、且つ大きくなる原因を図6を用いて以下説明する。
マッチング回路では電流や電圧をサンプリングしてプラズマインピーダンスを算出しマッチングを行う。サンプリングしたプラズマインピーダンスにより、可変容量の動作が決定される。パルスプラズマでは従来の連続モードのプラズマとは異なり、サンプリングにプラズマ密度が安定しない過渡期間を含んでしまう。図3のAのタイミングは、プラズマ密度が安定していない過渡期間である。この期間のプラズマインピーダンスZaは、プラズマ密度が他のサンプリングタイミングである、B、C、D、EのプラズマインピーダンスZb、Zc、Zfと大きく異なる。
Zb、Zc、Zfはともにプラズマ密度が安定しており、プラズマインピーダンスとしては近い値をとる。そのため、マッチング回路ではZaのマッチング位置に合わせようとする動作と、プラズマ密度安定期間のインピーダンスZb、Zc、Zfに対するマッチング動作が混在し、マッチング動作が正常にできず、マッチング回路が最適な可変容量値とならないため、反射が大きくかつ安定しない結果となる。
また、概して、この期間はプラズマ密度が非常に低く時間も短いため、エッチング性能に影響が少ない。高周波バイアスはエッチング性能に影響が大きいプラズマ密度が安定している期間に対し、マッチングを行う方が良い。
パルスプラズマを使用する場合には、従来方式とは異なり、過渡期間のプラズマ密度の変化を考慮する必要がある。図4に示すように高周波バイアスを過渡期間は印加しない方法や、高周波バイアス出力を緩やかに上げていくことで反射値を小さくする方法が考えられる。この場合、過渡期間中に高周波バイアスによるイオン加速電圧が小さくなる。プラズマのデポ性が強い場合には、試料表面にデポが堆積するため、イオン加速電圧が小さい場合にはエッチングストップを引き起こすことになり、歩留まりの低下やデバイス不良を引き起こす可能性がある。
本発明は、上述した課題を解決するために、プラズマ生成用高周波電力と高周波バイアス電力を時間変調するプラズマ処理装置及びプラズマ処理方法において、高周波バイアス電力を安定して供給することができるプラズマ処理装置及びプラズマ処理方法を提供する。
本発明は、真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置において、前記第一の高周波電力と前記第二の高周波電力が時間変調される場合、前記整合器は、前記時間変調された第二の高周波電力のオン開始時間を開始時間とする所定時間経過後から前記時間変調された第二の高周波電力のオン終了時間までの期間とするサンプリング有効期間に整合を行うための情報をサンプリングし、前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持する制御が行われることを特徴とする。
また、本発明は、真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置において、前記第一の高周波電力と前記第二の高周波電力が時間変調される場合、前記整合器は、前記時間変調された第二の高周波電力のオン終了時間から所定時間前までの期間であるサンプリング有効期間に整合を行うための情報をサンプリングし、前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持する制御が行われることを特徴とする。
また、本発明は、真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置を用いたプラズマ処理方法において、前記第一の高周波電力と前記第二の高周波電力を時間変調し、前記時間変調された第二の高周波電力のオン終了時間から所定時間前までの期間であるサンプリング有効期間に整合を行うための情報をサンプリングするとともに前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持して前記整合器の整合を行うことを特徴とする。
本発明により、プラズマ生成用高周波電力と高周波バイアス電力を時間変調するプラズマ処理装置及びプラズマ処理方法において、高周波バイアス電力を安定して供給することができる。
パルスプラズマに連続波形の高周波バイアスを適用した一例である。 パルスプラズマに時間変調した高周波バイアスを適用した一例である。 高周波バイアスの整合器のサンプリングタイミングを示す図である。 プラズマ密度が安定していない過度期間を示す図である。 本発明に係るマイクロ波ECRプラズマエッチング装置の縦断面図である。 制御部120の動作を示す図である。 サンプルホールド方式を説明する図である。 連続波出力プラズマに時間変調した高周波バイアスを適用した一例である。 プラズマ密度によりプラズマ安定までの過度期間を規定する方法を示す図である。 プラズマ密度、プラズマ発光およびVppのそれぞれの関係を表す図である。 本発明に係る高周波バイアスの整合を示す図である。 デッドタイムを固定した場合のケース1ないし3を示す図である。 サンプリング有効時間を固定した場合のケース1ないし3を示す図である。 高周波バイアスの時間変調の周波数を整合器のサンプリング周波数の整数倍とした場合を示す図である。 高周波バイアスの整合器の動作を示すフロー図である。
以下、本発明を実施するための形態を、図面を参照しながら説明する。本発明の一実施例に係るECR方式のマイクロ波プラズマエッチング装置の概略縦断面図を図5に示す。上部が開放された真空容器101の上部に、真空容器101内にエッチングガスを導入するための石英製のシャワープレート102と、石英製の誘電体窓103とを設置し、密封することにより処理室104を形成する。
シャワープレート102にはエッチングガスを流すためのガス供給装置105が接続される。また、真空容器101には排気用開閉バルブ117及び排気速度可変バルブ118を介して真空排気装置106が接続されている。処理室104内は、排気用開閉バルブ117を開とし、真空排気装置106を駆動することで減圧され、真空状態となる。処理室104内の圧力は排気速度可変バルブ118により所望の圧力に調整される。
エッチングガスは、ガス供給装置105からシャワープレート102を介して処理室104内に導入され、排気速度可変バルブ118を介して真空排気装置106によって排気される。また、シャワープレート102に対向して真空容器101の下部に試料台である試料載置用電極111が設けられる。プラズマを生成するための電力を処理室104に伝送するため、誘電体窓103の上方には電磁波を伝送する導波管107が設けられる。導波管107へ伝送される電磁波は、第一の高周波電源である電磁波発生用高周波電源109から発振させる。
電磁波発生用高周波電源109には、パルス発生ユニット121が取り付けられており、これによりマイクロ波を図2に示すように任意に設定可能な繰り返し周波数でパルス状に変調することができる。尚、本実施例の効果は、電磁波の周波数に特に限定されないが、本実施例では2.45GHzのマイクロ波を使用する。処理室104の外部には、磁場を形成する磁場発生用コイル110が設けてあり、電磁波発生用高周波電源109より発振された電磁波は、磁場発生用コイル110により形成された磁場との相互作用により、処理室104内に高密度プラズマを生成し、試料載置用電極111上に配置された、試料であるウエハ112にエッチング処理を施す。
シャワープレート102、試料載置用電極111、磁場発生用コイル110、排気用開閉バルブ117、排気速度可変バルブ118及びウエハ112は処理室104の中心軸上に対して同軸に配置されているため、エッチングガスの流れやプラズマにより生成されたラジカル及びイオン、更にはエッチングにより生成された反応生成物はウエハ112に対し同軸に導入、排気される。この同軸配置はエッチングレート、エッチング形状のウエハ面内均一性を軸対称に近づけ、ウエハ処理均一性を向上させる効果がある。
試料載置用電極111は電極表面がセラミック(図示せず)で被覆されており、高周波フィルター115を介して直流電源116が接続されている。さらに、試料載置用電極111には、マッチング回路113を介して第二の高周波電源である高周波バイアス電源114が接続される。高周波バイアス電源114には、パルス発生ユニット121が取り付けられており、同様に図2に示すような時間変調された高周波電力を選択的に試料載置用電極111に供給することができる。尚、本実施例の効果は、高周波バイアスの周波数に特に限定されないが、本実施例では400kHzの高周波を使用する。
上述のECRマイクロ波プラズマエッチング装置を用いたエッチング処理を制御する制御部120は、入力手段(図示せず)により、電磁波発生用高周波電源109、高周波バイアス電源114、パルス発生ユニット121のパルスのオン・オフのタイミングを含む繰り返し周波数やデューティー比、エッチングを実施するためのガス流量、処理圧力、電磁波電力、高周波バイアス電力、コイル電流、パルスのオン時間、オフ時間等のエッチングパラメータを制御している。尚、デューティー比とは、パルスの1周期に対するオン期間の割合のことである。また、本実施例では、パルスの繰り返し周波数は5Hz〜10kHzまで変更でき、デューティー比は1%〜90%まで変更できる。さらに時間変調の設定はオン時間、オフ時間でも可能である。
以下に、電磁波発生用高周波電源109のから時間変調された電磁波を発生する場合と、高周波バイアス電源114から時間変調された高周波電力を試料載置用電極111に供給する場合の制御部120の機能について、図6を用いて説明する。制御部120は、電磁波発生用高周波電源109と高周波バイアス電源114をパルス状に変調するための繰り返し周波数、デューティー比、電磁波発生用高周波電源109のオンのタイミングと高周波バイアス電源114のオンのタイミングを合わせた時間情報を、パルス発生ユニット121に設定する。
パルス発生ユニットからは電磁波発生用電源のパルス出力制御のための時間情報が送信され、時間制御された電磁波を発生させる。同様に、高周波バイアス電源114もパルス発生ユニットから送信された情報をもとに時間制御された高周波バイアス出力を発生させる。
高周波バイアスを適用する場合、整合器113は、図15に示すようなフローで高周波バイアスのマッチングを取り、反射電力を減少させる。マッチングの手法としてはマッチング回路にて電流と電圧および位相を測定する。その測定値からプラズマのインピーダンスを算出し、最適な回路定数になるようマッチング回路内の可変容量を調整する。尚、マッチング回路がプラズマインピーダンスの測定値を取得し、取得されたインピーダンスの測定値に基づいて可変容量を変更し、マッチング動作を行う周期をサンプリング周期とする。
サンプリング周期は設定により変更できる。本実施例では可変容量はパルスモータにより容量を制御されるため、パルスモータの動作可能な最小時間より短くサンプリング周期を設定しても、パルスモータが動作できない。そのため、概してサンプリング周期の設定はパルスモータの動作可能な最小時間より長く設定される。一例として、高周波バイアスのパルス周波数を100Hz、デューティー比を50%、サンプリング周期を12msとした場合について説明をする。
サンプリングの開始タイミングを規定せずサンプリングを行うとする。図3に示すタイミングDやEのように、オフ期間にサンプリングを行うタイミングとなる場合がある。オフ期間は高周波バイアスを印加しないため、電圧の測定ができず、マッチング回路では高周波バイアスからみたプラズマインピーダンスが算出できなくなるため、マッチング動作に必要なプラズマインピーダンス値が得られず、マッチングができない状態となる。この問題を解決するため、サンプルホールド方式と称する以下に示す方法により解決できる。
オン期間中にサンプリング周期のタイミングが来る場合、即ち図7のA,B,Cのような場合は、それぞれのタイミングでのプラズマインピーダンスをマッチングの指標に用いる。尚、ここでのA、B、Cは、図3のA、B、Cのタイミングと同じである。しかしながら図7のD、Eのようなオフの期間にサンプリングのタイミングが来た場合には、オン期間のある時間でのプラズマインピーダンスを代用するようにする。尚、ここでのD、Eは、図3のD、Eのタイミングと同じである。
また、本実施例ではオン期間終了直前のタイミング、図7のFのタイミングでのプラズマインピーダンスであるZfを算出し、その値を次のオン開始タイミングまで保持するようにする。つまり、図7に示すようにオフ期間はオン期間のあるタイミングでのプラズマインピーダンスと等しいとする処理を行う。このようにサンプルホールド方式によりオフ期間にプラズマインピーダンスとして異常値を取得することを防ぐことができる。また、整合器113は、このようなサンプルホールド方式の機能を有する。
次にプラズマ密度安定までの過渡期間のマッチング動作に与える影響を小さくする整合器113のアルゴリズムについて説明する。このアルゴリズムは、オン期間の開始から一定時間の間のプラズマインピーダンスに関する情報を取得しないアルゴリズムである。一例として、パルスプラズマのプラズマ生成周波数を100Hz、デューティー比を50%、サンプリング周期を12msとした場合について説明する。また、本実施例にてプラズマ密度の安定までの過渡期間の定義について、図9を用いて説明する。
プラズマ密度の時間変化の一次差分を取る。図9に示すようにプラズマ密度の変化が無く安定している場合には、一次差分値は0となる。条件によってはわずかながら密度が緩やかに変化を続ける場合もあるため、安定までの過渡期間の判定基準を0としないこととした。本実施例では一次差分のピーク値に対し、一次差分値が70%以下になったとき、安定までの過渡期間が終了し、安定期間が始まったと判断することとした。
本実施例では判定の閾値をピーク値の70%としたが、閾値は条件によって変えても良い。条件によって異なるが、概してパルスプラズマのプラズマ密度が安定するまでの過渡時間は1μs〜100ms程度である。パルスプラズマにおいてプラズマ密度が安定するまでの過渡期間を見積もる方法として、高周波バイアスのピークトウピーク値であるVppやプラズマ発光の変化を測定する手法を用いても良い。図10にプラズマ密度とプラズマ発光とVppの変化を示す。
プラズマ中の粒子を発光させるためにはエネルギーをもった電子が粒子に衝突し、粒子に発光に相当するエネルギーを与える必要がある。エネルギーが与えられた粒子は、そのエネルギーを光として放出する。プラズマ密度が変化すると、エネルギーをもった電子の密度も同様に変化するため、プラズマからの発光の変化とプラズマ密度の変化には相関がある。よってプラズマ発光が安定するまでの時間をプラズマ密度が安定するまでの時間として代用することができる。
また、プラズマ密度が変化すると高周波バイアスからみたVppが変化する。これはプラズマ密度により高周波バイアスからみたインピーダンスが変化するためである。よってVppの変化もプラズマ密度の変化と相関がある。プラズマ密度の変化をラングミュアプローブなどで直接観察する方法もある。ラングミュアプローブなど真空処理室(以下チャンバと称する)に設置が必要な場合はハードウェアが複雑になる。
また、チャンバの内部に設置する必要があるため、プラズマに影響を与える可能性がある。Vpp測定や、プラズマ発光はチャンバ内に検出手段を設置する必要がなく、プラズマへの影響は少ない。本実施例ではVppの変化を、前述したプラズマ密度の安定までの過渡期間の判定と同様に一次差分を用いる方法で行った。図10に示すようにVppの一次差分のピーク値の絶対値に対し、一次差分値の絶対値が90%となった時間をプラズマ密度が安定した時間とした。
この方法からプラズマ密度が安定するまでの時間は2ms以下程度と見積もり、高周波バイアスのオン開始から2ms以降のプラズマインピーダンス値のみを取得するようにした。以下、高周波バイアスのオン開始からプラズマインピーダンス情報を取得しない期間をデッドタイムとする。図11に示すように整合器113のサンプリング周期のタイミングがデッドタイム内になった場合には、サンプルホールドで使用したオン終了時の値、即ちZfを使用することとした。従来のデッドタイムを使用しない方式の場合、図2に示すように高周波バイアスの反射値は反射率5%を超え、且つ反射値のばらつきが大きくなるという結果となったが、本発明のデッドタイムを使用した整合を行うことで反射を小さく、且つ安定に高周波バイアスを印加することができ、反射率は1%以下にすることができた。
本実施例ではデッドタイムをプラズマ生成の高周波バイアスのオン開始時間を基準に設定したが、プラズマのオン時間を基準にしても良い。また前述のようにプラズマ発光をモニタすることで、プラズマのオンおよびオフのタイミングが測定できるため、プラズマ発光のオンのタイミングからデッドタイムの経過後、プラズマインピーダンスの情報を取得する方法でも良い。
この方法はプラズマ生成用高周波電源のオン・オフとプラズマのオン・オフの間に遅れ時間がある場合に有効である。プラズマの発光だけではなく、パルスプラズマのオン・オフに連動する他のパラメータ、例えば前述したVppをモニタし、デッドタイムの基準とする方法でも良い。Vppが安定したタイミングから、デッドタイムを設定する方法である。
通常、パルスプラズマ方式ではプラズマ生成の高周波の時間変調周波数(以下パルス周波数と称する)やデューティー比を変更可能としたハードウェア構成となっている。これは、エッチング性能を制御するためにパルス周波数やデューティー比の制御が有効なためである。上述した高周波バイアスのオン開始のタイミングを基準としてデッドタイムを設ける方法の場合、図12のケース1〜3に示すようにデューティー比やパルス周波数を変えることによりサンプリング有効時間が異なる。ここでサンプリング有効時間とはインピーダンスの測定値を取得できる期間のことである。
また、エッチング条件やプラズマの状態によっては、デューティー比やパルス周波数を変えることで、プラズマ密度の安定時間が大きく変わることがある。この場合、エッチング条件によっては設定されたデッドタイムがプラズマ密度の安定時間より短くなる。ケース1では整合に問題が無いが、ケース2及びケース3では反射が大きくなることや、反射値が安定しないなどの問題が生じる。このため、エッチング条件によってデッドタイム設定値を変更しなければならず、エッチング条件が複数のステップからなる場合、デッドタイムの設定やソフトウェアによる対応が複雑になる。
この課題を解決するためには、図13に示すような整合器113のサンプリング有効時間を一定とする、高周波バイアスのオン期間の終了から一定の期間のみプラズマインピーダンスの測定値を取得し、その他の時間をサンプルホールド値を用いる方法が有効である。この手法について以下、説明する。
高周波バイアスのオフのタイミングを基準に整合器113のサンプリング有効時間を一定となるようにデッドタイムを設定する。最初に高周波バイアスのパルス周波数とデューティー比から高周波バイアスのオン期間を求める。次に、高周波バイアスのオン期間から整合器113のサンプリング有効時間を減じた値をデッドタイムとする。このようなデッドタイムの求め方により、整合器113のサンプリング有効時間を高周波バイアスのパルス周波数及びデューティー比等によらず、一定とすることができる。尚、整合器113のサンプリング有効時間は、予め求められた所望の値である。また、整合器113のサンプリング有効時間以外は、プラズマインピーダンスの測定値を取得せずに整合器113のサンプリング有効時間の最後に取得されたプラズマインピーダンスの測定値を用いて整合を行う、いわゆる上述したサンプルホールドの状態とする。
また、プラズマ密度安定期間に重なるように高周波バイアスを適用することが多いため、通常、高周波バイアスのオフのタイミング直前は、高周波バイアスのオンのタイミング直後よりプラズマ密度が安定している。このため、整合器113のサンプリング有効時間を高周波バイアスのオフのタイミングを基準にして設定する方式が有効な場合がある。次に、サンプルホールドの機能を用いずにパルス放電の場合における高周波バイアスの反射値のばらつきを抑制する手段について図14を参照しながら以下、説明する。
高周波バイアスのパルス周波数が100Hzでデューティー比が50%の場合で説明する。図14に示すようにプラズマ密度が安定するまでの過渡期間以降に整合器113のサンプリングのタイミングが来るように遅延時間を設定する。また、高周波バイアスのパルス周波数を整合器113のサンプリング周波数の整数倍とする。図14の例では、整合器113のサンプリングの周期を高周波バイアスのパルスの周期の2倍である20msとした。
このように遅延時間を設定してプラズマ密度が安定している期間を整合器113のサンプリング期間とするとともに高周波バイアスのパルス周波数を整合器113のサンプリング周波数の整数倍とすることにより、サンプルホールド方式を用いることなく、パルス放電の場合における高周波バイアスの反射値のばらつきを抑制することができる。
また、高周波バイアスのパルスの周期やデューティー比が変わる場合は遅延時間を変更することでプラズマ密度安定までの過渡期に整合器113のサンプリングが行なわれないようにすることができる。さらに、高周波バイアスのパルス周波数を整合器113のサンプリング周波数の整数倍とすることは、この場合に限らず、上述したデッドタイムを設ける手段にも用いても良い。
以上、上述した本実施例で説明した本発明は、整合器113の制御として行われる。または、上述した本実施例で説明した本発明をアルゴリズムとして整合器113は有している。または、制御部120が上述した本実施例で説明した本発明を行うように整合器113を制御しても良い。
また、上述した本実施例では時間制御のパラメータとして高周波バイアスのパルス周波数とデューティー比を用いたが、オン時間およびオフ時間等を用いてもよい。また、上述した本実施例では、プラズマインピーダンスをマッチング回路が整合を行うための情報としたが、電流、電圧、位相などの整合に関する情報であれば、同様の効果が得られる。
さらに、上述した本実施例ではマイクロ波ECRプラズマ源を用いた場合について説明したが、容量結合型プラズマ源や誘導結合型プラズマ源等の他のプラズマ生成方式におけるプラズマ処理装置においても同様の効果が得られる。
101・・・真空容器
102・・・シャワープレート
103・・・誘電体窓
104・・・処理室
105・・・ガス供給装置
106・・・真空排気装置
107・・・導波管
109・・・電磁波発生用高周波電源
110・・・磁場発生用コイル
111・・・試料載置用電極
112・・・ウエハ
113・・・整合器
114・・・高周波バイアス電源
115・・・高周波フィルター
116・・・直流電源
117・・・排気用開閉バルブ
118・・・排気速度可変バルブ
120・・・制御部
121・・・パルス発生ユニット

Claims (8)

  1. 真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置において、
    前記第一の高周波電力と前記第二の高周波電力が時間変調される場合、前記整合器は、前記時間変調された第二の高周波電力のオン開始時間を開始時間とする所定時間経過後から前記時間変調された第二の高周波電力のオン終了時間までの期間とするサンプリング有効期間に整合を行うための情報をサンプリングし、前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持する制御が行われることを特徴とするプラズマ処理装置。
  2. 請求項1に記載のプラズマ処理装置において、
    前記所定時間を前記第二の高周波電力のピークトウピーク値であるVppが安定するのに要する時間とすることを特徴とするプラズマ処理装置。
  3. 請求項1に記載のプラズマ処理装置において、
    前記所定時間を前記プラズマの発光強度が安定するのに要する時間とすることを特徴とするプラズマ処理装置。
  4. 請求項1に記載のプラズマ処理装置において、
    前記所定時間をプラズマ密度が安定するのに要する時間とすることを特徴とするプラズマ処理装置。
  5. 請求項1に記載のプラズマ処理装置において、
    前記第二の高周波電力を時間変調する周波数は、前記整合器のサンプリング周波数の整数倍とすることを特徴とするプラズマ処理装置。
  6. 真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置において、
    前記第一の高周波電力と前記第二の高周波電力が時間変調される場合、前記整合器は、前記時間変調された第二の高周波電力のオン終了時間から所定時間前までの期間であるサンプリング有効期間に整合を行うための情報をサンプリングし、前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持する制御が行われることを特徴とするプラズマ処理装置。
  7. 請求項6に記載のプラズマ処理装置において、
    前記第二の高周波電力を時間変調する周波数は、前記整合器のサンプリング周波数の整数倍とすることを特徴とするプラズマ処理装置。
  8. 真空容器と、前記真空容器内にプラズマを生成するための第一の高周波電力を供給する第一の高周波電源と、前記真空容器内に配置され試料を載置する試料台と、前記試料台に第二の高周波電力を供給する第二の高周波電源と、前記第二の高周波電力の反射電力を抑制する整合器とを備えるプラズマ処理装置を用いたプラズマ処理方法において、
    前記第一の高周波電力と前記第二の高周波電力を時間変調し、
    前記時間変調された第二の高周波電力のオン終了時間から所定時間前までの期間であるサンプリング有効期間に整合を行うための情報をサンプリングするとともに前記オン終了時間後から次のサンプリング有効期間までは、前記サンプリング有効期間に行われた整合状態を維持して前記整合器の整合を行うことを特徴とするプラズマ処理方法。
JP2013119396A 2013-06-06 2013-06-06 プラズマ処理装置 Active JP6180799B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2013119396A JP6180799B2 (ja) 2013-06-06 2013-06-06 プラズマ処理装置
TW102148436A TWI492262B (zh) 2013-06-06 2013-12-26 Plasma processing device and plasma processing method
CN201410030630.3A CN104241071B (zh) 2013-06-06 2014-01-22 等离子体处理装置及等离子体处理方法
KR1020140013111A KR101589168B1 (ko) 2013-06-06 2014-02-05 플라즈마 처리 장치 및 플라즈마 처리 방법
US14/183,556 US9336999B2 (en) 2013-06-06 2014-02-19 Plasma processing apparatus and plasma processing method
KR1020160004679A KR101819922B1 (ko) 2013-06-06 2016-01-14 플라즈마 처리 장치 및 플라즈마 처리 방법
US15/132,701 US10192718B2 (en) 2013-06-06 2016-04-19 Plasma processing apparatus and plasma processing method
US16/050,089 US11004658B2 (en) 2013-06-06 2018-07-31 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013119396A JP6180799B2 (ja) 2013-06-06 2013-06-06 プラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2014239091A true JP2014239091A (ja) 2014-12-18
JP2014239091A5 JP2014239091A5 (ja) 2016-07-28
JP6180799B2 JP6180799B2 (ja) 2017-08-16

Family

ID=52005800

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013119396A Active JP6180799B2 (ja) 2013-06-06 2013-06-06 プラズマ処理装置

Country Status (5)

Country Link
US (3) US9336999B2 (ja)
JP (1) JP6180799B2 (ja)
KR (2) KR101589168B1 (ja)
CN (1) CN104241071B (ja)
TW (1) TWI492262B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017028000A (ja) * 2015-07-17 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2017027995A (ja) * 2015-07-16 2017-02-02 東京エレクトロン株式会社 エッチング終点検出方法及びプラズマ処理装置の制御装置
JP2017147381A (ja) * 2016-02-19 2017-08-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20210098938A (ko) * 2020-01-30 2021-08-11 주식회사 히타치하이테크 플라스마 처리 장치, 및 플라스마 처리 방법
WO2023281792A1 (ja) * 2021-07-09 2023-01-12 ソニーセミコンダクタソリューションズ株式会社 半導体装置の製造方法及び成膜装置

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP2017212361A (ja) * 2016-05-26 2017-11-30 東京エレクトロン株式会社 プラズマ処理装置及びパーティクル付着抑制方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6999368B2 (ja) * 2017-11-01 2022-01-18 東京エレクトロン株式会社 プラズマ処理装置
TWI767088B (zh) * 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110534392B (zh) * 2018-05-25 2022-04-22 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111270224B (zh) * 2018-12-04 2022-06-28 财团法人金属工业研究发展中心 化学气相沉积设备及用于该设备的方法和功率补偿模块
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210129081A (ko) * 2019-03-01 2021-10-27 고쿠리츠다이가쿠호진 카나자와다이가쿠 미립자의 제조 장치 및 미립자의 제조 방법
JP6851510B2 (ja) * 2019-06-20 2021-03-31 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
JP7315101B2 (ja) 2020-06-23 2023-07-26 株式会社デンソー 障害物情報管理装置、障害物情報管理方法、車両用装置

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
US5997687A (en) * 1996-08-23 1999-12-07 Tokyo Electron Limited Plasma processing apparatus
JP2000150478A (ja) * 1998-11-12 2000-05-30 Matsushita Electronics Industry Corp プラズマ発生方法及びプラズマ発生装置
JP2007115860A (ja) * 2005-10-20 2007-05-10 Renesas Technology Corp 半導体装置の製造方法
US20080110859A1 (en) * 2006-10-06 2008-05-15 Tokyo Electron Limited Plasma etching apparatus and method
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
JP2010171320A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US20140305905A1 (en) * 2011-12-09 2014-10-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947733B2 (ja) * 1980-07-31 1984-11-21 株式会社クラレ ポリエステル繊維の製造法
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
US5733820A (en) * 1995-04-27 1998-03-31 Sharp Kabushiki Kaisha Dry etching method
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP5377993B2 (ja) * 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5898882B2 (ja) 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
US5997687A (en) * 1996-08-23 1999-12-07 Tokyo Electron Limited Plasma processing apparatus
JP2000150478A (ja) * 1998-11-12 2000-05-30 Matsushita Electronics Industry Corp プラズマ発生方法及びプラズマ発生装置
JP2007115860A (ja) * 2005-10-20 2007-05-10 Renesas Technology Corp 半導体装置の製造方法
US20080110859A1 (en) * 2006-10-06 2008-05-15 Tokyo Electron Limited Plasma etching apparatus and method
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
JP2010171320A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US20140305905A1 (en) * 2011-12-09 2014-10-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017027995A (ja) * 2015-07-16 2017-02-02 東京エレクトロン株式会社 エッチング終点検出方法及びプラズマ処理装置の制御装置
JP2017028000A (ja) * 2015-07-17 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2017147381A (ja) * 2016-02-19 2017-08-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20210098938A (ko) * 2020-01-30 2021-08-11 주식회사 히타치하이테크 플라스마 처리 장치, 및 플라스마 처리 방법
KR102521387B1 (ko) 2020-01-30 2023-04-14 주식회사 히타치하이테크 플라스마 처리 장치, 및 플라스마 처리 방법
WO2023281792A1 (ja) * 2021-07-09 2023-01-12 ソニーセミコンダクタソリューションズ株式会社 半導体装置の製造方法及び成膜装置

Also Published As

Publication number Publication date
JP6180799B2 (ja) 2017-08-16
CN104241071B (zh) 2017-03-01
KR20160011221A (ko) 2016-01-29
KR20140143316A (ko) 2014-12-16
KR101819922B1 (ko) 2018-01-18
US11004658B2 (en) 2021-05-11
US20160233057A1 (en) 2016-08-11
US20180337022A1 (en) 2018-11-22
US10192718B2 (en) 2019-01-29
TWI492262B (zh) 2015-07-11
US20140363977A1 (en) 2014-12-11
CN104241071A (zh) 2014-12-24
TW201447959A (zh) 2014-12-16
KR101589168B1 (ko) 2016-01-27
US9336999B2 (en) 2016-05-10

Similar Documents

Publication Publication Date Title
JP6180799B2 (ja) プラズマ処理装置
JP7155354B2 (ja) プラズマ処理装置、プロセッサ、制御方法、非一時的コンピュータ可読記録媒体及びプログラム
JP7455174B2 (ja) Rf発生器及び方法
TWI500066B (zh) Plasma processing device
JP5822795B2 (ja) プラズマ処理装置
TWI585815B (zh) Plasma processing device
JP2015115564A (ja) プラズマ処理装置及びプラズマ処理方法
JP6043852B2 (ja) プラズマ処理装置
JP6976228B2 (ja) プラズマ処理装置
TW202209408A (zh) 電漿處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160520

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170116

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170620

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170719

R150 Certificate of patent or registration of utility model

Ref document number: 6180799

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350