JP5450187B2 - プラズマ処理装置およびプラズマ処理方法 - Google Patents

プラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
JP5450187B2
JP5450187B2 JP2010058841A JP2010058841A JP5450187B2 JP 5450187 B2 JP5450187 B2 JP 5450187B2 JP 2010058841 A JP2010058841 A JP 2010058841A JP 2010058841 A JP2010058841 A JP 2010058841A JP 5450187 B2 JP5450187 B2 JP 5450187B2
Authority
JP
Japan
Prior art keywords
film
plasma
processing chamber
processing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010058841A
Other languages
English (en)
Other versions
JP2011192872A (ja
JP2011192872A5 (ja
Inventor
誠浩 角屋
基裕 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2010058841A priority Critical patent/JP5450187B2/ja
Priority to KR1020100072771A priority patent/KR101214505B1/ko
Priority to TW102148735A priority patent/TWI559395B/zh
Priority to TW105122906A priority patent/TWI593017B/zh
Priority to TW099125079A priority patent/TWI430361B/zh
Priority to US12/855,302 priority patent/US8557709B2/en
Publication of JP2011192872A publication Critical patent/JP2011192872A/ja
Publication of JP2011192872A5 publication Critical patent/JP2011192872A5/ja
Priority to US14/046,773 priority patent/US20140053983A1/en
Application granted granted Critical
Publication of JP5450187B2 publication Critical patent/JP5450187B2/ja
Priority to US14/509,935 priority patent/US9496147B2/en
Priority to US15/293,480 priority patent/US9960031B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Description

本発明は、プラズマ処理装置に係わり、特にプラズマを用いて半導体基板等の表面処理を行うのに好適なプラズマ処理方法に関する。
近年の半導体素子は微細化により、リソグラフィーにより形成されたマスクを下層膜に転写するエッチング工程にはより高い精度の寸法精度、つまりCD(Critical Dimension)精度が要求されている。量産現場において高いCD制御性に加えて、CDの再現性を確保することが重要な課題である。一般にエッチング工程でCDが変動する要因としては、エッチングチャンバー内壁に被処理材から発生した反応生成物が付着する、チャンバー内部材が長期的な使用により消耗する、チャンバー内部材の温度等が変動し、チャンバー内壁等へのラジカルの付着確率が変化し、エッチング性能へ影響するプラズマ状態が変動する等の要因が挙げられる。
次に微細トランジスタでは、短チャンネル効果を制御する上で、ゲート絶縁膜の容量を増加させる必要があり、従来ゲート酸化膜の厚みを低減することで、本課題を達成してきた。しかしゲート酸化膜の薄膜化によりリーク電流が増加することから、ゲート絶縁膜としてより高い誘電率を持つ材料(High−k)が導入された。酸化膜を置き換えるHigh−k材として、ハフニウム酸化膜(HfO2)が挙げられる。しかしながら従来のポリシリコン(Poly−Si)電極とHfO2間では材料の不適合が存在することから、適正な仕事関数を持つ金属(メタル)膜を有する構造が必要である。メタル材料としては種々挙げられるが、Semiconductor International 2008/1号(非特許文献1)記載のようにTiN,Laなどが用いられている。
従来、プラズマ処理装置はウエハ毎、又は、ロット毎にプラズマを用いたクリーニングが実施され、カーボン(C),酸化膜,Poly−Si,窒化膜等を対象としたプロセスには、主にフッ素(F),塩素(Cl),酸素(O)のいずれかを含むまたは混合のプラズマでのクリーニングが用いられてきた。また、エッチングチャンバー内壁部材が消耗することにより発生する金属等(例えばAl)等がチャンバー内に付着等する場合は、プラズマでのクリーニングだけで除去することは困難なため、あらかじめシーズニング等を用いて、チャンバー内雰囲気を一定に保つ等の技術が検討されている。このような技術の例としては特開2004−031380号公報(特許文献1)に記載のものが知られている。
また、米国特許7,204,913号公報(特許文献2)記載の技術のように、ウエハ処理毎にリアクタ内壁にコーティングを施し、リアクタ内壁状態変化に伴うプロセス性能への影響を低減する技術が知られている。
一方、メタル材料(例えばTiN)をエッチングすると、Jounal of Vacuum Science and Technoloby B24, 2191(2006)(非特許文献2)記載のように、メタル材がチャンバー内壁に付着し前記ガス系にて完全なクリーニングが困難な場合があることが知られている。
特開2004−031380号公報 米国特許7,204,913号公報
Semiconductor International 2008/1号 Jounal of Vacuum Science and Technoloby B24, 2191(2006)
しかしながら、上記従来技術では次のような問題点に付いて十分に考慮がされていなかった。
すなわち、特許文献1においては、コーティングした膜自体から異物の原因となる物質,粒子が遊離して異物の発生の虞があり、膜をコーティングする条件の最適化が必要となる。しかし、このような条件について本従来技術では考慮されていなかった。
また、半導体集積回路の集積度が高まるにつれ、長期的なCD変動の抑制が要求されているが、非特許文献2に記載されるように金属材料の膜を処理(一般的にメタル処理と呼ばれる)する場合には、その材料またはその化合物が処理室内壁面に付着して残留してしまうと、その後の処理室内の処理においてその残留したメタル材料によりプロセス性能が変動してしまう虞があった。このようなプロセス性能の変動は、半導体ウエハ等の処理対象の膜の処理の結果を変動させることになり、処理する枚数が多くなるに伴って処理した結果として得られる形状、いわゆるCD(Critical Dimension、クリティカルディメンジョン)が変動してしまう。
このような問題を解決しようとして、ウエハの処理毎に残留物を除去するためのクリーニング処理あるいは、プロセス性能の変動を抑制する処理(例えば、シーズニング処理)等を行うことも考えられる。しかし、実際の量産現場においては、こうした特定の処理を行うことによって、所定の数のロットを処理する場合の全体的なスループットが低下してしまうという問題が生じていた。このような点について上記従来技術では考慮されていなかった。
本発明の目的は、処理の結果得られる形状の変動の少ないプラズマ処理装置またはプラズマ処理方法を提供することにある。また、処理の効率を向上してスループットを高めた処理装置またはプラズマ処理方法を提供することにある。
上記目的は、真空容器内部に配置された処理室と、この処理室の下部に配置されその上面に処理対象のウエハが載置される試料台と、前記処理室内部を排気して減圧する排気装置と、前記試料台の上方に配置されて前記処理室に処理用ガスを導入する導入孔とを備え、前記ウエハの上面に配置された膜構造を前記処理用ガスを用いて形成したプラズマを用いてエッチング処理するプラズマ処理装置またはプラズマ処理方法であって、前記膜構造が基板上にレジスト膜とマスク膜とポリシリコン膜と絶縁膜とを有して構成されたものであって、前記処理室内にコーティング用のガスを供給してプラズマを形成してこの処理室内部の部材の表面にSiを成分として含む皮膜を被覆するコーティング工程を実施し、このコーティング工程の後に前記処理室内にアフタートリートメント用のガスを供給してプラズマを形成して前記皮膜の表面の凹凸を低減するアフタートリートメント工程を実施し、このアフタートリートメント工程の後に前記ウエハ上面の前記マスク膜の下方の前記ポリシリコン膜をエッチング処理するエッチング処理工程を実施することにより達成される。
また、前記コーティング工程において前記処理室内にSiまたはSiとO或いはSiとCの少なくとも何れか一つを成分として含むガスを供給することにより達成される。
さらにまた、前記アフタートリートメント用のガスがFを含むことにより達成される。
さらにまた、前記膜構造が金属材料を含む膜を有したものであって、前記処理室内の前記部材の表面に前記皮膜が残存している状態で、且つ前記ウエハが前記処理室内に配置されていない状態で、前記処理室内にプラズマを形成して前記皮膜の前記金属を低減するメタルクリーニング工程を実施することにより達成される。

本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。 図1に示す実施例に係るプラズマ処理装置において、処理の対象とされる被処理材である半導体ウエハ上面に配置された膜の構造を模式的に示す縦断面図である。 図2(a)の膜構造を処理した際に得られた付着物の元素の組成比を示すグラフである。 図2に示す膜構造のエッチング終了後の処理室内壁表面に堆積するデポ膜厚みの変化を示す特性である。 図2(b)に示す膜構造をエッチング処理した際のSiを成分として含む(Si系)膜、炭素を成分として含む膜(C系)膜、SiOを成分として含む(SiO系)膜を処理室内部の壁面上にコーティングした場合、これらの各コーティング膜の消耗量を示すグラフである。 SiCl4とO2の混合ガスを用いて形成したコーティング膜の表面をXPSにより測定したSi2pスペクトルを示すグラフである。 SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。 SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。 この後処理(アフタートリートメント)を行う時間を変化させた場合のコーティング膜の表面から遊離する粒子の数の変化を示すグラフである。 本実施例に係るプラズマ処理装置において実施される半導体ウエハの処理の流れを示すフローチャートである。 従来の技術によりウェット洗浄後に図2(b)に示す膜構造を上面に備えたウエハを処理した際のポリシリコン(Poly−Si)膜のエッチング速度(レート)の変化を示すグラフである。 処理を継続する時間の変化に対するポリシリコン(Poly−Si)膜のエッチングレートとチャンバー内に残留するTi量の変化とを示すグラフである。 図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れを示すフローチャートである。 図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れの別の例を示すフローチャートである。 図14に示す変形例におけるコーティング膜の深さ位置に対するメタル成分の濃度の変化を示すグラフである。 メタル膜を前もってエッチング処理した場合と処理しない場合の、メタルクリーニング処理におけるメタル成分に起因する発光強度の時間変化を示すグラフである。
以下、本発明の実施の形態を図面を参照して以下説明する。
本発明の実施例を図1乃至図10を用いて説明する。
図1は、本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。特に、本実施例では、マイクロ波ECR(Electron Cyclotron Resonance)を用いたエッチング装置を説明している。
本図において、実施例に係るプラズマ処理装置は、上部が開放された真空容器101の上部に、真空容器101内にエッチングガスを導入するための複数の導入孔がその中央部分を中心に均等に配置された円板形状を有するシャワープレート102(例えば石英製またはイットリア製),誘電体窓103(例えば石英製)が設置されており、真空容器101内の略円筒形状を有する処理室104内部が誘電体窓103によって密封される。シャワープレート102にはエッチングガスを流すためのガス供給装置105が連結され、シャワープレート102の上方の誘電体窓103との間の空間がガス供給装置105から管路,通路を介して接続され、この空間を介して導入孔を通して処理室104内部に処理用ガスが供給される。
また、真空容器101の下方にはその内部の処理室104の略円筒形状の空間底部に配置され真空排気口106を介し真空排気装置(図示省略)が連結されて連通されている。一方、プラズマを生成するための電力を処理室104に伝送するため、誘電体窓103の上方には電磁波を放射する導波管107(またはアンテナ)が設けられる。
導波管107(またはアンテナ)へ伝送される電磁波は、電磁波発生用電源109によって発振されて当該導波管107内部に供給される。本実施例において、電磁波の周波数は特に限定されないが、本実施例では2.45GHzのマイクロ波を使用する。
処理室104の外周側の真空容器101外部には、磁場を形成する磁場発生コイル110がこれを囲んで配置されており、電磁波発生用電源109より発振されて導波管107及び誘電体窓103,シャワープレート102を介して処理室104内に導入された電界は、磁場発生コイル110によって形成された磁場との相互作用を生起して、処理室104内に供給された処理用ガスを解離させて処理室104内にプラズマを生成する。また、円板状のシャワープレート102に対向して処理室104内の下部には、その円形状の上面に処理対象である円板形状の半導体製のウエハ112が載せられる試料台であるウエハ載置電極111が配置されている。
当該ウエハ載置電極111は略円筒形状を備えて、その電極上面が酸化アルミニウムや酸化イットリウム等のセラミクス材料が溶射によって吹き付けられて膜が形成された溶射膜(図示省略)により被覆されている。さらに、溶射膜の内部には金属の部材からなる膜状の電極が配置されており(図示せず)この電極が高周波フィルター115を介して直流電源116と電気的に接続されている。
さらに、ウエハ載置電極111の内部に配置された金属製のブロックには、マッチング回路113を介して高周波電源114が接続されており、このブロックが高周波電極としての作用を奏するものとなっている。また、ウエハ載置電極111の内部の上記電極のブロック内には、同心円状またはら旋状に配置されて内部を温度調節のための媒体が通流する冷媒用流路117が配置されており、冷媒用流路117はウエハ載置電極111の外部に配置された管路を介して温調器118と連結されている。
また、電極のブロック内の上部にはヒーター119が配置され、これがヒーター制御器120と接続されている。また、ウエハ載置電極111には温度センサー121が配置され、温度センサー121から出力された信号に基づいてウエハ載置電極111及びウエハ112の温度を所望の温度とするように、ヒーター制御器120および冷媒の温度を制御する温調器118の動作が調節される。
ウエハ112は、図示しないロボットアーム等の搬送装置によって処理室104内部に搬送されてウエハ載置電極111の上面に載せられた後、直流電源116から印加される直流電圧の静電気力でウエハ載置電極111上の溶射膜上に吸着される。この際、ウエハ112の裏面と溶射膜との間の空間には熱伝達性を有するガスが供給されてウエハ112とウエハ載置電極111との間の熱の伝達が促進されることでウエハ112の温度が調節される。
この状態でガス供給装置105よって所望の処理用ガス、本例の場合ではエッチングガスが供給された後、処理室104内を所定の圧力としつつ内部にプラズマを発生させる。次に、ウエハ載置電極111に接続された高周波電源114から高周波電力を印加することにより、溶射膜上方にバイアス電位が形成されプラズマからウエハへイオンが引き込まれてウエハ112がエッチング処理される。
また、処理室104内壁を構成する真空容器101の側壁部には、上記プラズマの処理中の発光を検出する発光分光器123が接続され、この発光分光器123から得られた出力はこれに接続された発光データ処理装置124に発信され、発光データ処理装置124内の演算器を用いて発光データの数値的な解析,検出が行われる。
図2は、本実施例のプラズマ処理装置において、処理の対象とされる被処理材である半導体ウエハ上面に配置された膜の構造を模式的に示す縦断面図である。被処理材である膜の構造は、図2(a)に示す通り下地である基板207上に上からレジスト(Photo Resist,PR)(マスク)201又はハードマスク(カーボン、あるいはSiO2,SiNまたはSiON等の材料を用いる又はこれらを主材料とする膜)202,ポリシリコン(Poly−Si)膜203,絶縁膜層としての酸化膜204を備えたものとなっている。或いは図2(b)に示す通り、基板207上に上からレジスト(Photo Resist,PR)(マスク)201又はハードマスク(カーボン、あるいはSiO2,SiNまたはSiON等の材料を用いる又はこれらを主材料とする膜)202,ポリシリコン(Poly−Si)膜203,メタル(金属、例えばTiN)膜205,High−k材料(例えばHfO2)による膜(High−K膜)206を備えている。
これらのメタルの材料の種類および積層数、厚みはデバイスおよびNMOS部分,PMOS部分で異なるものである。これらの膜構造は、半導体デバイスの回路、特にゲートや配線の構造を形成するためにエッチング処理されて所定の形状に加工されるように求められている。
本実施例において、それぞれ膜は、異なるエッチングレシピにより処理される。さらに、マスク(mask)部分は有機材料から構成された従来から用いられるレジスト膜201だけでは、マスクとして近年の微細加工に対応した膜厚やエッチングの耐性が不十分であることから、レジスト膜201の下層にアモルファスカーボン(ACL)、SiNまたはSiONおよびSiO2等の材料から構成されたハードマスク201またはそれらの混載した積層構造を有している。このようなハードマスク201により下層のPoly−Siやメタル材料のエッチングを行うことができる。ここではマスク201のエッチング工程についての説明は省略する。
本実施例においては、ポリシリコン膜203のエッチングには、塩素(Cl)を成分として含むガス(塩素系ガス、例えばCl2)とフッ素(F)を成分として含むガス(フッ素系ガス、例えばCF4)の混合ガス又はCl2とHBrの混合ガス等がその成分の少なくとも一部として用いられる。また、必要に応じてO2等のガスも用いられる。
次に、図2(b)に示す膜構造のメタル(例えばTiN)膜205のエッチング工程では、最初にメタル層界面に形成された自然酸化膜等を除去するため、比較的高いウエハバイアス出力を増加させ高イオンエネルギーを有するイオンを入射させ、主にスパッタ効果により自然酸化膜の除去を行う。この際に用いられる処理用ガスは、HBrやAr等を含むものが用いられるが、他のガス系であっても良い。
自然酸化膜が除去された後、メタル膜205のエッチングには主にCl2またはCl2とHBrの混合ガスが処理用ガスとして用いられる。本実施例のメタル膜205は薄膜であるため比較的低いイオンエネルギーとなるようにウエハバイアス出力を調整してエッチングが行われる。
次に、BCl3又はBCl3とCl2の混合ガスが処理用ガスとして用いられて高誘電率の材料により構成されたHigh−K(例えばHfO2)膜206のエッチングが行われる。本ステップでは、低イオンエネルギー条件を使用することにより、良好なエッチング特性(形状,選択比)等が達成される。
次に、本発明の第一の実施例に係る処理対象のウエハの処理の詳細について図3乃至10を用いて説明する。ここでは図2(a)に示す膜構造をエッチングする工程について説明するが、図2(b)に示す膜構造についても同様の効果がある。
本実施例では、図2(a)に示す膜構造のエッチングでは、マスク201として、例えばSiO2,SiN等の材料を使用した場合、エッチングにはCxHyFz(x,y,z=0,1,2,…)を成分として含むガス又はこのようなガスと希釈用ガスおよび酸素等のガスが使用される。ポリシリコン膜203については、前述のように塩素(Cl)系ガス(例えばCl2)とフッ素(F)系ガス(例えばCF4)の混合ガス又はCl2とHBrの混合ガス等が用いられる。また必要に応じてO2等のガスも用いられる。
発明者らは、これらのエッチングの各工程を行った後に、処理室104の内壁面に堆積した付着物を測定するため、当該内壁を模擬したサンプルを処理室104内に設置し、そのサンプル上に堆積した付着物をXPS(X-ray photoelectron spectroscopy)により測定した。本実験にて使用した処理室104の内壁は石英であるが、特にポリシリコン膜203のエッチングの工程で発生する反応生成物と類似の組成であることから、反応生成物と内壁を構成する部材の材料とを識別するため、前記サンプルとしてAl23を使用した。
実験では、マスク202のエッチングのステップにCHF3/SF6を、ポリシリコン膜203のエッチングステップとしてPoly−BT(Break−Through)ステップにCl2/CF4/Arを、Poly−EndPointおよびPoly−OE(Over−Etching)ステップにはCl2/HBr/O2を含むガスを処理用ガスとして使用した。
各ステップの処理後にサンプル上から検出された元素の組成比を図3に示す。図3は、図2(a)の膜構造を処理した際に得られた付着物の元素の組成比を示すグラフである。
本図に示す通り、処理室104の内壁に形成される付着物の主成分はマスク202〜Poly−BTステップではC,Fであり、C1sスペクトルを見ると(図示省略)C−C結合よりも高エネルギー側にピークが見られることから、CFx(x=1,2,3)が主な組成であると考えられる。また、Poly−EndPoint〜Poly−OEステップではSi,Oがデポの主成分であり、Si2pスペクトルを見ると103eV付近にピークを有することからSiOx膜が形成されていると推定できる。
また、本実験において、サンプル表面(Al23)のAlから得られる電子強度を測定し、付着物の膜のCFxおよびSiOxの密度を所定の値に仮定することにより、各ステップでの付着物の膜厚を求めることができる。ここで、SiOxはSiO2として、CFxはFTFE(Poly−tetra−fluoro−ethylene)と類似と仮定し密度を2.2g/cm3とした場合の膜厚を図4に示す。マスク201〜Poly−BTステップではCFx膜が、Poly−EndPoint〜Poly−OEステップではSiOx膜が形成されるが、エッチング初期とCFx膜からSiOx膜の切り替わりのステップ(Poly−BTとPoly−EndPoint)のステップで一旦壁に形成される付着物の膜が消失することが分かる。つまりこの期間は処理室104の壁表面が露出し、処理室104内壁状態が経時的に変化した場合にはその影響を受けやすい期間であると言える。
このような処理室104内壁の状態の変化によって、ウエハ112の処理の特性や結果が影響を受けて変動してしまうことを抑制するために、ウエハ112の処理の前に、処理室104のプラズマに面する内壁の表面を所定の材料の皮膜で被覆(コーティング)することが考えられる。前述のような構造の膜にエッチングを行う場合には、膜種に応じて使用するエッチングガス系が異なり、場合によっては前述の例のように処理室104の内壁の付着物の膜が消失してしまい、内壁が露出してしまう虞があることから、処理室104の内部の表面を被覆する皮膜(以下、コーティング膜)は、上記膜構造の複数膜をエッチングする場合それが対象とする膜の処理の終了まで処理室104の内部の表面に残存している、少なくとも上記膜の切り替わりのステップの際に残存していることが望ましい。
このため、本実施例では、エッチング処理中に消耗する膜厚以上の膜厚をあらかじめ堆積させている。さらに、上記膜構造のエッチング処理では、フッ素(F)系,塩素(Cl)系ガスを処理用ガスとして供給して形成されたプラズマが使用されるため、コーティング膜はこのようなプラズマに対するプラズマ耐性が高いことが必要である。
図5は、図2(b)に示す膜構造をエッチング処理した際のSiを成分として含む(Si系)膜、炭素を成分として含む膜(C系)膜、SiOを成分として含む(SiO系)膜を処理室104内部の壁面上にコーティングした場合、これらの各コーティング膜の消耗量を示すグラフである。本図において、炭素(C)を主体とするコーティング膜は酸素を使用するステップ(たとえばin−situ Ashステップ)等での消耗量が大きく、このことからプラズマ耐性が低いと判断される。一方、Si又はSiO系のコーティング膜は相対的に消耗量が著しく小さく抑えられており、プラズマ耐性が高いと考えられることから、発明者らはSi又はSiO系の膜はコーティング膜として好適と判断した。
特に、Siを含有するコーティング膜では、Siと酸素(O)を含有する膜、およびSiと炭素(C)を含有する膜のプラズマ耐性が良好である。このようなコーティング膜を処理室104内の部材の表面に付着させるためにプラズマを形成する処理用ガスのガス種として、例えば、SiCl4とO2との混合ガス、又はSiCl4とメタン(CH4)の混合ガスが好適である。Ar等の希釈用ガスを添加しても同様のコーティング膜を形成することが可能である。
図6は、SiCl4とO2の混合ガスを用いて形成したコーティング膜の表面をXPSにより測定したSi2pスペクトルを示すグラフである。本図において、石英の表面を分析した結果も合わせて示す。コーティング膜及び石英の両者において、ほぼ同じ結合エネルギー103eV付近にピークを有していることから、酸化膜(SiO2)が形成されていることが分かる。
また、図7は、SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。発明者らは、コーティング膜を形成する条件を変化させ得られたコーティング膜の表面とを比較して検討した結果、O2/SiCl4流量比を0.5より小さくすると、コーティング膜の表面に荒れが発生するという知見を得た。このようなコーティング膜の表面の荒れが存在すると、コーティング膜の不均一によるリアクタ内壁の被覆率の不均一や、荒れを起点としたクラックの発生による異物の発生等の問題が生起する虞が有る。このことから本実施例では、コーティング膜を形成するために処理室104内に導入される処理用ガスにおいてO2とSiCl4との流量比を0.5以上としている。
ウエハ載置電極111の上面のウエハ112載置面は溶射膜で覆われているが、コーティング膜を形成するプラズマの処理中には、ウエハ112が載せられていない場合には載置面も同様にコーティング膜が形成される。このため、ウエハ112がウエハ載置電極111上に載せられて処理対象の膜構造の所定の膜がエッチング処理されている間コーティング膜はESC膜とウエハ間に存在する。
発明者らの検討によれば、この際、溶射膜上に形成された直後のコーティング膜の表面には、図8(a)に示すように、微小な凸部による凹凸や表面の粗さの分布の不均一さが存在する。例えば、このような凸部はSiを含む材料による突起であるという知見が得られている。そして、このような凹凸や荒れのために溶射膜内に配置された静電吸着用の薄膜の電極に電圧を印加しウエハと溶射膜の間でコーティング膜に力が印加されると上記微小な荒れを起点にコーティング膜にクラックが発生してしまい、ウエハの処理の枚数の増大にともなってクラックから溶射皮膜を構成する材料が破片となって遊離してしまい、これが再度ウエハ112(別のウエハも含め)を汚染して異物が発生してしまうという問題が生じてしまう。
また、このような問題は、ウエハ載置電極111の表面のコーティング膜だけでなく、処理室104の内部に配置された部材の表面を被覆する膜においても同様に生起する可能性が有る。例えば、コーティング膜の形成後に製品用のウエハ112を処理室104内にプラズマを形成してエッチング処理する際に、このプラズマ内の荷電粒子や反応性粒子とコーティング膜を構成する材料とが相互作用を起こしクラックや損傷が生じてしまい、ひいては遊離した破片によって上記と同様に汚染,異物が生起する虞が有る。
これら異物がウエハ上に付着すると、製品の歩留まりを低下させる可能性がある。本実施例では、このような問題の発生を抑制するため、ウエハ112をウエハ載置電極111上面に載せていない状態で上記の通りプラズマ処理によってコーティング膜を処理室104内に形成後、上記突起や凹凸,荒れを低減する表面の処理を行う。本実施例ではこのような表面の処理をプラズマによりコーティング膜表面を所定のエッチングすることにより行っている。エッチング処理のためのプラズマに対する耐性を備えた皮膜を被覆した後このような皮膜のアフタートリートメントを行うことにより、図8(b)に示すように、コーティング膜の表面の荒れや突起,凹凸が低減され上記異物の発生を抑制することができる。
このようなコーティング膜に形成された後の処理を施す処理の条件として、フッ素を成分として含む(F系)ガスを用いたプラズマ、例えばSF6を用いたプラズマによる処理が有効である。また、この後処理(アフタートリートメント)を行う時間を変化させた場合のコーティング膜の表面から遊離する粒子の数の変化を図9に示す。
本図に示すように、上記プラズマを用いた後処理を継続させた時間が約2秒までは粒子の数が急激に低減され、約2秒を経過した後にはその数が0に近い値に漸近する。発明者らはこのような知見を得て、約2秒以上のプラズマを用いた後処理によりコーティング膜の表面の荒れや欠陥を低減させて異物の発生を抑制することを想起した。以下、このようなコーティング膜を処理室104内に形成する処理の後にプラズマを用いて表面の荒れや欠陥を低減する処理をアフタートリートメントと呼ぶ。
図10は、本実施例に係るプラズマ処理装置において実施される半導体ウエハの処理の流れを示すフローチャートである。なお、本図では、カセット等ウエハを収納可能な容器内に格納された所定の数のウエハを1ロットとして扱い、各ロット毎にプラズマ処理装置100内の処理室104の内部に配置された部材の表面を処理に適した状態にするエージング処理(ステップ1001)から開始する例を示している。
エージング処理は、処理室104内に処理対象のウエハ112が配置されていない状態で、所定のガス例えばAr等不活性ガスを導入してプラズマを形成し、処理室104の内部の部材の表面の温度や粗さ,材質等をその後行われるウエハ112の処理に適した状態に調整する処理である。この後、処理室104内にクリーニング用のガスが導入されてプラズマが形成され、処理室104内部の表面に付着,残存している粒子や皮膜,堆積物を取り除くクリーニング処理が行われる(ステップ1002)。
クリーニング処理がなされて清掃がされた状態の処理室104の内表面にエッチング処理の特性を安定化するための皮膜を被覆するコーティング処理(ステップ1003)がされる。本実施例のコーティング処理は、上記の通り、コーティング膜を処理室104内の部材の表面に付着させるためにプラズマを形成する処理用ガスのガス種として、例えば、SiCl4とO2との混合ガス、又はSiCl4とメタン(CH4)の混合ガスと希釈用ガスとしてArとともに供給して行われる。これらのガスを用いてプラズマを形成することにより、処理室104の内部に配置された部材、例えは処理室104の内側側壁の表面やウエハ載置電極111の上面,側面がSiまたはSiOを含む材料から構成された皮膜により被覆される。
このコーティング処理(ステップ1003)は、ウエハ載置電極111上面の載置面上には処理対象の製品製造用のウエハ112が載置されていない状態で行われる。この後、導入されるガスが換えられて、例えばSF6に切替えられて処理室104内に導入されてプラズマが形成される。このプラズマによって先のステップにより形成されたコーティング膜の表面の荒れや欠陥を低減する後処理(アフタートリートメント)としてのエッチング処理が行われる(ステップ1004)。
ステップ1004の後、上記処理用ガスが切替えられウエハ112が処理室104内に搬送されてウエハ載置電極111上の載置面上に載せられる(ステップ1005)。処理室104内部が図示しないゲートバルブによって気密に封止されて密封された後、上記の通り、処理対象の膜に適した処理用ガスが導入されて図2に示す膜構造がエッチング処理される(ステップ1006)。
ウエハ112表面の膜構造の処理が終了するとウエハ112が処理室102外に搬出される(ステップ1007)と、プラズマ処理装置100の図示しない制御装置は処理するウエハ112が存在するか否かの情報を通信手段を介して入手して、処理室104での処理対象の処理を継続するか否かを判定する(ステップ1008)。次に処理すべきウエハ112があると判定された場合には、ステップ1002に戻り、コーティング膜を含む堆積物,膜,残存した粒子をクリーニングを行う。
処理すべきウエハ112が無いと判定された場合には、ステップ1009に移り、ステップ1002と同様に処理室104内を洗浄した後、ウエハ112の処理を終了する(ステップ1010)。なお、処理室104内の処理が終了しても、処理後のウエハ112は搬送の途中である場合もあるため、制御装置によってウエハ112が元のカセットの元の位置に収納されたことが判定された際に、制御装置からプラズマ処理装置100に備えられた表示モニタ,ブザー,ライト等の報知手段によって、処理の終了が報知される。
本実施例に示すこれら処理が施されることにより、処理室104の内壁の状態が処理の枚数の増大に伴い、あるいは処理の進行に伴って時間的に変化してしまうことにより悪影響、例えば処理室104内壁からの異物の発生やウエハ112の処理の結果の均一性の低下,処理の速度や加工形状の再現性といった特性が変動してしまうことを抑制できる。また、コーティングの皮膜を形成した後にアフタートリートメント処理を行うことで、コーティング膜の表面の損傷や状態の変化を抑制し異物の発生やウエハ112の処理への影響を抑制することができる。
このことにより、エッチング処理の再現性や歩留まりを向上させることができる。また、本実施例では図2(a)に示すような膜構造のエッチングについて説明したが、本実施例に含まれる発明は、図2(b)に示すような金属膜(例えばTiN)を含む膜構造をエッチング処理する場合においても、ステップ1002,ステップ1008に示したクリーニング処理によって、処理室104内部に残留するメタル材料の成分、例えばTiを組成として含む反応生成物をコーティング膜と共に除去できるため、再現性の良いプラズマ処理が可能となる。
これまでの実施例の説明では、図2(a)に示すメタル膜205を含まない膜構造をエッチングして半導体デバイスを製造する工程について説明した。次に、図2(b)に示すメタル膜205を含む処理対象の膜構造をエッチングする工程について説明する。これまでの実施例と同等の箇所については説明を省略する。
図2(b)のようにメタル膜205を含む膜構造をエッチング処理する技術は、従来、ウェット洗浄等の処理室204の内部の部材の表面のクリーニングを実施した後、処理室104の内部をその後のウエハ112のエッチング処理に適した状態に馴染ませるため、Si,PR又は酸化膜等のメタル膜を有さない膜を表面に備えたウエハを処理室104内に配置してプラズマを形成して上記の馴染ませる処理(シーズニング処理)を実施した後に製品製造用のウエハを処理していた。
図11は、このような従来の技術によりウェット洗浄後に図2(b)に示すメタル膜/High−k膜を備えた膜構造を上面に備えた所定のロットのウエハ複数を処理した際のポリシリコン(Poly−Si)膜のエッチング速度(レート)の変化を示すグラフである。本図に示す通り、従来の技術ではウエハの処理を開始したロットの極初期ではエッチングレートが急激に増加し、その後エッチングレートは任意の値に漸近して安定となる。
発明者らは、このような現象が生じる原因は、処理室104内に残留するメタル膜の成分(例えばTi)にフッ素(F)や塩素(Cl)等の処理室104内に供給されたガスの成分が吸着するため、処理中のFやCl濃度が増加することであるという知見を得た。一般にTi−F,Ti−Oの結合は安定であり、従来はプラズマを用いたクリーニング処理条件では除去することが難しかった。一方、このようなプロセス変動を抑制するために、被処理材に含まれるメタル材料(例えばTiN)と同種のウエハを処理し、処理室104内部にTiを付着させるTiシーズニングを行うことで、処理室内部の雰囲気を安定化することも考えられている。
図12は、High−K膜206のエッチングステップとメタル(例えばTiN)膜205のエッチングステップの条件、例えば処理を継続する時間の変化に対するポリシリコン(Poly−Si)膜203のエッチングレートとチャンバー内に残留するTi量の変化とを示すグラフである。この図は、Ti量は処理室104内部にTiが残留した状態で清浄なSiウエハをウエハ載置電極111上に設置し、プラズマ形成用のガスとしてArを供給してプラズマを形成することにより処理室104内壁面に残留したTiをスパッタした結果ウエハ上に付着したTi量をTXRF(Total reflection x-ray fluorescence)により測定し求めたものである。
メタル膜205のエッチング処理の時間に対して、High−K膜206のエッチング処理の時間が長いほど、ポリシリコン膜203のエッチングレートは低下していくこと、さらにこれらの時間の比率が所定の値以上になると当該エッチングレートは任意の値に漸近することが判る。同様に、処理室104内部に残留するTi量もHigh−K膜206のエッチング処理の時間の比率が増加すると共に低下することが判った。
前述のように、処理室104内に残留するTiはTi−FやTi−Oのような安定な結合状態で残留すると推定されるので、High−K膜206のエッチング処理のステップでは処理用のガスとしてBCl3を成分として含むガスが使用される。発明者らは、この際にBが還元剤として作用し上記Ti−O,Ti−F等の結合が切れやすくなり、残留するTiが除去されていると考えた。
つまり、本実施の例の膜構造のエッチング処理では、メタル膜205のエッチング処理のステップでは処理室104内にメタル膜205の金属成分(例えばTi)を供給し、一方High−K膜のエッチング処理のステップでは処理室104内に残留する金属成分(例えばTi)を除去している。それぞれのエッチング処理の時間は、製造する半導体デバイスの構造により各膜厚が異なるため製造対象の半導体デバイスに応じて処理室104内に残留するTi量は異なることになる。
単一の半導体デバイスのみを製造する場合に前述のTiシーズニング等の手法により、処理室104内壁の表面の状態を安定化することで半導体デバイス製造のためのエッチング処理の特性を安定化することは可能である。しかし、異なるデバイスを複数、一度に作成する場合には、製品ごとに雰囲気のリセット(例えばウェット処理)とシーズニングを行う必要があり、装置のダウンタイムが長くなりスループットが低下するという問題がある。
前述のように、メタル、例えばTi系の反応生成物はTi−O,Ti−F等の強固な結合にて残留するため、従来のF系ガスプラズマ処理のみでの除去は難しい。そのため上記実施例に記載のように、処理対象のウエハ112を処理室104内のウエハ載置電極111上に設置する前に、処理室104内壁およびウエハ載置電極111上面の溶射膜上にコーティング膜を形成するためのプラズマ処理を施した後、ウエハ112を電極上に載置してウエハ112の上記膜構造をエッチングする処理を行う。そして、エッチング処理の終了後、コーティング膜を除去するクリーニング処理を行う。この際コーティング膜上に堆積したメタル膜205の成分を含む物質、例えばTi系反応生成物をコーティング膜と共に除去する。このような処理を行うことで、より安定なプラズマ処理が可能であるが、処理の条件等によっては、Ti系反応生成物といったメタル材料が処理室内に残留してしまい、この残留した物質によってその後のメタル膜205のエッチング処理に影響が及び処理の特性の変動が大きくなってしまうという問題が生じる。
本実施例では、このようなコーティング膜形成の後にクリーニングの処理に加えて、処理室104に残留したメタル材料の成分を取り除くメタルクリーニングステップを備えている。このようなメタルクリーニングステップを挿入するタイミングとしては2つの場合があり、以下それぞれの場合について説明する。
第1の場合について図13を用いて説明する。図13は、図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れを示すフローチャートである。本実施例では、このような処理はウエハ毎に実施される例を示しているが、当該動作に係る処理をウエハの所定の枚数毎に行ってもよい。
図13は、処理室104内部の部品交換等の定期的なメンテナンス後に処理室を密封して減圧を開始しプラズマ処理装置100を再度動作させる場合又は、新たなロットの処理を開始する場合の動作の流れを示している。まず、本例では、先ず、処理室104内部の雰囲気を整えるためのロット前のエージング処理を実施する(ステップ1301)。この後、通常の反応生成物を除去するためのクリーニング処理を実施する(ステップ1302)。このようなクリーニングは処理室104内に形成したプラズマを用いて処理室104内壁面上に付着,堆積して残存する物質を取り除くことで行われる。
なお、このエージング処理及びクリーニング処理は、図10のステップ1001,ステップ1002において実施されるものと同等の目的,条件,作用で行われる。このクリーニング処理(ステップ1302)においてメタル成分も取り除いても良い。
その後、図10のステップ1003と同等の目的,条件,作用を備えた上記コーティング膜を形成する処理により、処理室104内部の部材表面およびウエハ載置電極111の上面,側面上にコーティング膜を形成する(ステップ1303)。次に、このようなコーティング膜に含まれたメタル膜205の成分に起因するメタル材料を取り除くメタルクリーニングの処理を実施して処理室104内部に残存するメタルの除去を行う(ステップ1304)。さらに、この後、ステップ1302と同等の通常のクリーニング処理を実施し、残存するコーティング膜の除去を行った後(ステップ1305)、実際のウエハ112の処理を行う。
本図において、処理対象となるウエハ112を処理室104内に配置してウエハ112のエッチング処理を開始する前に、処理室104の内壁および溶射膜を有するウエハ載置電極111上にコーティング膜を堆積させるためのプラズマ処理およびアフタートリートメント処理を施す(ステップ1306)。この後ウエハ112をウエハ載置電極111上に載置しウエハ112上に配置された処理対象の図2(b)の膜構造を所定の条件を実現してエッチング処理を行う(ステップ1307)。
ウエハ112上の処理対象の膜に対するエッチング処理が終了した後、ウエハ112を処理室104から搬出した後に処理室104の内部の部材の表面およびウエハ載置電極111の表面上にステップ1306において形成されたコーティング膜が残存する状態で、処理室104の内部に残留するメタル膜205を構成した成分、例えばTiをクリーニングするためのメタルクリーニング処理を行う(ステップ1308)。
前述のように、TiはTi−O,Ti−F等の安定な結合状態となっている場合が多いと考えられることから、ステップ1308のメタルクリーニング処理においては、処理室104内に処理用ガスとしてボロン(B),水素(H),炭素(C),シリコン(Si)のいずれかのガス又はこれらを組み合わせから構成される物質を成分として含むものが供給される。さらには、これらの元素のいずれか又はこれらの組み合わせと塩素(Cl)又はフッ素(F)を併せて処理室104の内部に供給してプラズマを形成することにより、処理室104内に残留するTiが除去される。
このようなメタルクリーニング処理に用いられる処理用ガスの例としては、HCl,SiCl4,BCl3,CH4とCl2又はFを含むガスSF6,CF4,CxHyFzとの混合ガスが考えられる。特に、発明者らの検討によれば、BCl3とCl2の混合ガス、SiCl4とCl2の混合ガスでは、Tiのクリーニング効果が高い。さらに、必要に応じて上記混合ガスを希釈ガス等により希釈しても同様の効果が得られる。
一般に、ウエハ112が載せられるウエハ載置電極111の載置面はセラミックス材で構成されており、例えば、Al23やY23等の材料が使用されていることが一般的である。このようなセラミックス材料により構成された部材にプラズマが面すると、セラミックスがプラズマ内の荷電粒子や反応性粒子との相互作用によりエッチングされ消耗してしまう。本例では、セラミックスで構成された載置面上にコーティング膜が配置された状態で、上記のアフタートリートメント処理やメタルクリーニング処理といったプラズマ処理を実施することができるため、プラズマによる上記載置面を構成するセラミックスの損傷が抑制される。
上記のメタルクリーニング処理を処理室104内に残留する、特にコーティング膜の成分あるいは処理室104の内壁の部材の成分と化合してコーティング膜内に存在するメタル成分の物質の量が十分減少するまで実施した後、コーティング膜および処理室内に残留するその他の反応生成物を除去するためのクリーニング処理を行う(ステップ1309)。このクリーニング処理は、コーティング膜がSiを含む成分で構成された膜である場合、例えば、コーティング膜形成のプラズマ処理(ステップ1306)をSiCl4,O2の混合ガス、又はSiCl4,O2,Arの混合ガスを用いて実施した場合には、フッ素(F)を含有またはフッ素(F)と酸素(O)を成分として含むガスを処理用ガスとして用いてプラズマを形成しクリーニングが実施される。
このことにより、クリーニング効果の高いクリーニングが可能となる。例えば、SF6,NF3やそれらとO2の混合ガスなどが好適である。このクリーニング処理の後、他のウエハ112を処理するか否かが判定されて、処理の要否に応じてステップ1306またはステップ1310に移動する。ステップ1310では次に処理するウエハ112は無いと判定されて処理室104内での処理が終了される。
上記のプラズマ処理を繰り返すことにより、ウエハ毎に処理室104の内部の部材の表面の状態が復元され、処理に適合したものに調整されることから、再現性良く、異物の発生の少ない処理が可能となる。また、これらの処理を行うことにより、処理室104内部の清掃や部品交換といった定期的なメンテナンス前後、およびロット間での処理室104内部の条件のばらつきが抑制されることから、再現性の良い処理が可能となる。
次に、第2の場合を図14を用いて説明する。本図では、第1の場合と同一箇所は説明を省略し、異なる箇所のみ説明する。
図14は、図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れの別の例を示すフローチャートである。第2の場合では、メタルクリーニング処理を実施するタイミングが第1の場合と異なる。
第1の場合ではメタルクリーニング処理はウエハ112の処理対象の膜構造のエッチング処理を終了した後に実施されていたのに対し、第2の場合ではコーティング膜を処理室104内側の部材表面およびウエハ載置電極111上に形成した後、ウエハ112を処理室104内に導入しエッチング処理を実施する前にメタルクリーニング処理を実施する(ステップ1404)。この第2の場合でも第1の場合と同様に、ウエハ112毎に処理室104内部の部材の表面の状態が復元され調整されることから、再現性良く、異物発生の少ない処理が実現される。
次に、メタルクリーニング処理によるメタル成分を除去する効果を測定した例を示す。図15は、図14に示す変形例におけるコーティング膜の深さ位置に対するメタル成分の濃度の変化を示すグラフである。
本測定では、任意のウエハ112において図2(b)に示す膜構造のメタル膜205のエッチング処理が行われ処理室104内にTiが残留しており、その後のウエハ112の処理に際してその上にコーティング膜が堆積した状態を模擬するため、TiN膜上にSiO2膜をSiCl4,O2の混合ガスによるプラズマ処理により堆積させた。そのコーティング膜(本例ではSiO2膜)表面から内部のTiの分布をSIMS(Secondary ion mass spectrometry)により測定した結果を示している。
図中X軸の負側がSiO2膜、正側がTiN膜を示しており、Y軸はTi濃度である。本図より、SiO2膜中にもTiが存在していることが分かる。これは、処理室104内のTiがSiO2膜中へ拡散した、又は一旦エッチング処理されて処理室104内部に遊離したTiの粒子が再度SiO2の堆積と共にサンプル上に堆積した等の理由が考えられる。
発明者らは、このように、コーティング膜(本例ではSiO2膜)中にはメタル成分であるTiが組成として存在するため、この処理室104内に残留しているTiにより処理室104内部のガスの分圧や処理の条件、特性の経時的なばらつきが発生すると考えた。一方、残留するこのようなTiの影響を少なくするために、更にコーティング膜厚を増加させることも有効な手段と考えられるが、ウエハ処理毎に実施するコーティング膜形成に必要な時間も延長されることになり、スループットが低下してしまうという問題が生じる。
一方、図中の□は、コーティング膜を形成後メタルクリーニング処理としてBCl3,Cl2の混合ガスによるプラズマ処理を実施した場合の、コーティング膜内でのTi分布を示す。メタルクリーニング処理を実施した場合には、実施しない場合に比べて表面付近のTi濃度が減少していることが分かる。このように、メタルクリーニング処理を実施することにより、コーティング膜の表面及びその近傍の深さ位置に存在するメタル成分であるTiの濃度を低下させることが可能となる。これにより、コーティング膜内に残留するメタル成分、例えばTiによって処理室104内の雰囲気への悪影響を低減することでき、再現性良く、安定で、異物発生の少ないプラズマ処理が可能となる。
メタルクリーニング処理に処理用ガスとしてSiCl4とCl2の混合ガスを用いる場合、その混合比によってメタル成分の除去の効果が異なる。例えば、Cl2の流量に対してSiCl4流量を増加させるとTiの残留量が減少していく傾向がある。SiCl4/Cl2の流量比を0.2以上とすることで、処理の速度等の処理の特性の変動が抑制されることが発明者らの検討により知見として得られた。一方、SiCl4の流量をさらに増加させると処理室104内に過剰なSiを成分とする生成物が堆積するため、メタル成分(例えばTi)のクリーニング処理を行う上ではSiCl4/Cl2流量比は0.2〜1.0とすることが望ましい。
本実施例では、メタルクリーニングを処理室内に残留するメタル量が十分減少するまで実施する。メタルクリーニング処理においてプラズマから得られた発光を検出することにより、メタルクリーニング処理の終点を判定することができる。
図16は、メタル膜を前もってエッチング処理した場合と処理しない場合の、メタルクリーニング処理におけるメタル成分に起因する発光強度の時間変化を示すグラフである。本測定では、メタル膜205の材料としてTiNを処理し、Tiの発光強度の変化を測定した。図中の点線が、メタルを処理しなかった場合の発光強度、実線がメタルを処理した場合の発光強度である。予めメタル膜205を処理した場合には、クリーニング処理の継続時間と共に発光強度が減少し、メタルを処理しなかった場合と同一レベルまで低下する。
そこで、予めメタルを処理しなかった場合の発光強度の値をRAM等の記憶手段に記憶しておき、この値を設定値として当該設定値とメタル膜205を含む膜構造を処理した後のメタルクリーニング処理中に得られたプラズマの発光の強度を比較し、この設定値と同等であると判定された時刻をメタルクリーニング処理を終了させる時刻として処理の終了を判定させることができる。
上記実施例によれば、処理室140内部の残留物による処理への悪影響が低減され、処理室104内部のガスの分圧や内部の部材の表面の状態が安定化されるため、処理の速度等の特性の変動が抑制され加工した結果としての形状の変動を抑制でき、ひいては加工の精度を向上させることができる。
上記の実施例,変形例では、特にプラズマ処理装置としてECRプラズマを用いるものについて説明したが、本発明は、他のプラズマ装置、例えば誘導結合型プラズマ(ICP),容量結合型プラズマ装置(CCP)を用いたプラズマ処理に対しても同様の効果がある。
101 真空容器
102 シャワープレート
103 誘電体窓
104 処理室
105 ガス供給装置
106 真空排気口
107 導波管
108 空洞共振器
109 電磁波発生用電源
110 磁場発生コイル
111 ウエハ載置電極
112 ウエハ
113 マッチング回路
114 高周波電源
115 フィルター
116 直流電源
117 冷媒用流路
118 温調器
119,122 ヒーター
120 ヒーター制御器
121 温度センサー
123 発光分光器
124 発光データ処理装置

Claims (10)

  1. 真空容器内部に配置された処理室と、この処理室の下部に配置されその上面に処理対象のウエハが載置される試料台と、前記処理室内部を排気して減圧する排気装置と、前記試料台の上方に配置されて前記処理室に処理用ガスを導入する導入孔とを備え、前記ウエハの上面に配置された膜構造を前記処理用ガスを用いて形成したプラズマを用いてエッチング処理するプラズマ処理装置であって、
    前記膜構造が基板上にレジスト膜とマスク膜とポリシリコン膜と絶縁膜とを有して構成されたものであって、
    前記処理室内にコーティング用のガスを供給してプラズマを形成してこの処理室内部の部材の表面にSiを成分として含む皮膜を被覆するコーティング工程を実施し、このコーティング工程の後に前記処理室内にアフタートリートメント用のガスを供給してプラズマを形成して前記皮膜の表面の凹凸を低減するアフタートリートメント工程を実施し、このアフタートリートメント工程の後に前記ウエハ上面の前記マスク膜の下方の前記ポリシリコン膜をエッチング処理するエッチング処理工程を実施するプラズマ処理装置。
  2. 請求項1に記載のプラズマ処理装置であって、
    前記コーティング工程において前記処理室内にSiまたはSiとO或いはSiとCの少なくとも何れか一つを成分として含むガスを供給するプラズマ処理装置。
  3. 請求項1または2に記載のプラズマ処理装置であって、
    前記アフタートリートメント用のガスがFを含むプラズマ処理装置。
  4. 請求項3に記載のプラズマ処理装置であって、
    前記膜構造が金属材料を含む膜を有したものであって、
    前記処理室内の前記部材の表面に前記皮膜が残存している状態で、且つ前記ウエハが前記処理室内に配置されていない状態で、前記処理室内にプラズマを形成して前記皮膜の前記金属を低減するメタルクリーニング工程を実施するプラズマ処理装置。
  5. 請求項4に記載のプラズマ処理装置であって、
    前記メタルクリーニング工程において、ClまたはFとB,H,C,Siの何れかとの組み合わせを含むガスが供給されるプラズマ処理装置。
  6. 真空容器内部に配置され減圧された処理室内の下部に配置された試料台の上面に処理対象のウエハを載置し、前記試料台の上方に配置された導入孔から前記処理室に処理用ガスを導入してプラズマを形成して前記ウエハの上面に配置された膜構造をエッチング処理するプラズマ処理方法であって、
    前記膜構造が基板上にレジスト膜とマスク膜とポリシリコン膜と絶縁膜とを有して構成されたものであって、
    前記処理室内にコーティング用のガスを供給してプラズマを形成してこの処理室内部の部材の表面にSiを成分として含む皮膜を被覆するコーティング工程と、このコーティング工程の後に前記処理室内にアフタートリートメント用のガスを供給してプラズマを形成して前記皮膜の表面の凹凸を低減するアフタートリートメント工程と、このアフタートリートメント工程の後に前記ウエハ上面の前記マスク膜の下方の前記ポリシリコン膜をエッチング処理するエッチング処理工程とを備えた処理方法。
  7. 請求項6に記載のプラズマ処理方法であって、
    前記コーティング工程において前記処理室内にSiまたはSiとO或いはSiとCの少なくとも何れか一つを成分として含むガスを供給するプラズマ処理方法。
  8. 請求項6または7に記載のプラズマ処理方法であって、
    前記アフタートリートメント用のガスがFを含むプラズマ処理方法。
  9. 請求項8に記載のプラズマ処理方法であって、
    前記膜構造が金属材料を含む膜を有したものであって、
    前記処理室内の前記部材の表面に前記皮膜が残存している状態で、且つ前記ウエハが前記処理室内に配置されていない状態で、前記処理室内にプラズマを形成して前記皮膜の前記金属を低減するメタルクリーニング工程を備えたプラズマ処理方法。
  10. 請求項9に記載のプラズマ処理方法であって、
    前記メタルクリーニング工程において、ClまたはFとB,H,C,Siの何れかとの組み合わせを含むガスが供給されるプラズマ処理方法。
JP2010058841A 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法 Active JP5450187B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2010058841A JP5450187B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法
KR1020100072771A KR101214505B1 (ko) 2010-03-16 2010-07-28 플라즈마처리장치 및 플라즈마처리방법
TW102148735A TWI559395B (zh) 2010-03-16 2010-07-29 Plasma processing device and plasma processing method
TW105122906A TWI593017B (zh) 2010-03-16 2010-07-29 Plasma processing apparatus and plasma processing method
TW099125079A TWI430361B (zh) 2010-03-16 2010-07-29 Plasma processing device and plasma processing method
US12/855,302 US8557709B2 (en) 2010-03-16 2010-08-12 Plasma processing apparatus and plasma processing method
US14/046,773 US20140053983A1 (en) 2010-03-16 2013-10-04 Plasma processing apparatus and plasma processing method
US14/509,935 US9496147B2 (en) 2010-03-16 2014-10-08 Plasma processing apparatus and plasma processing method
US15/293,480 US9960031B2 (en) 2010-03-16 2016-10-14 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010058841A JP5450187B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013255576A Division JP5750496B2 (ja) 2013-12-11 2013-12-11 プラズマ処理方法

Publications (3)

Publication Number Publication Date
JP2011192872A JP2011192872A (ja) 2011-09-29
JP2011192872A5 JP2011192872A5 (ja) 2013-05-02
JP5450187B2 true JP5450187B2 (ja) 2014-03-26

Family

ID=44646400

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010058841A Active JP5450187B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法

Country Status (4)

Country Link
US (4) US8557709B2 (ja)
JP (1) JP5450187B2 (ja)
KR (1) KR101214505B1 (ja)
TW (3) TWI430361B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104638A (ko) 2020-02-10 2021-08-25 주식회사 히타치하이테크 플라스마 처리 방법

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130084707A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5807511B2 (ja) * 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP5956933B2 (ja) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP5740447B2 (ja) * 2013-10-10 2015-06-24 株式会社東芝 半導体装置の製造方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
JP2015088696A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9412606B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Target dimension uniformity for semiconductor wafers
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105097485B (zh) * 2014-05-05 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 腔室环境调控方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6424049B2 (ja) * 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6275610B2 (ja) * 2014-09-26 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6843069B2 (ja) * 2015-04-23 2021-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ洗浄終点に対するインシトゥエッチング速度の決定
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6486215B2 (ja) * 2015-06-17 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6568457B2 (ja) * 2015-11-11 2019-08-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6630649B2 (ja) 2016-09-16 2020-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6609535B2 (ja) 2016-09-21 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102342686B1 (ko) * 2017-03-27 2021-12-24 주식회사 히타치하이테크 플라스마 처리 방법
CN106887381B (zh) * 2017-03-27 2019-11-22 上海华力微电子有限公司 一种刻蚀腔体环境稳定性的优化方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
US11961719B2 (en) 2020-06-25 2024-04-16 Hitachi High-Tech Corporation Vacuum processing method
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
KR20230169926A (ko) * 2022-06-08 2023-12-18 주식회사 히타치하이테크 플라스마 처리 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098005A (ja) * 1995-06-22 1997-01-10 Mitsubishi Electric Corp 半導体処理装置
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6573190B1 (en) * 1998-11-26 2003-06-03 Hitachi, Ltd. Dry etching device and dry etching method
JP2000164576A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置の製造方法
JP3709552B2 (ja) * 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3644013B2 (ja) 2000-03-01 2005-04-27 富士通株式会社 半導体装置の製造方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP2002359234A (ja) 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理方法
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
JP3612526B2 (ja) 2002-06-21 2005-01-19 株式会社日立ハイテクノロジーズ プラズマ処理装置のシーズニング方法
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7390710B2 (en) * 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008153365A (ja) * 2006-12-15 2008-07-03 Renesas Technology Corp 半導体装置の製造方法
JP2008244292A (ja) 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
JP2010050310A (ja) 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104638A (ko) 2020-02-10 2021-08-25 주식회사 히타치하이테크 플라스마 처리 방법

Also Published As

Publication number Publication date
TWI593017B (zh) 2017-07-21
US20150024599A1 (en) 2015-01-22
TW201133605A (en) 2011-10-01
TWI430361B (zh) 2014-03-11
US20170032955A1 (en) 2017-02-02
US20110226734A1 (en) 2011-09-22
KR101214505B1 (ko) 2012-12-27
TWI559395B (zh) 2016-11-21
JP2011192872A (ja) 2011-09-29
TW201639030A (zh) 2016-11-01
TW201432813A (zh) 2014-08-16
KR20110104415A (ko) 2011-09-22
US8557709B2 (en) 2013-10-15
US9960031B2 (en) 2018-05-01
US20140053983A1 (en) 2014-02-27
US9496147B2 (en) 2016-11-15

Similar Documents

Publication Publication Date Title
JP5450187B2 (ja) プラズマ処理装置およびプラズマ処理方法
US8298957B2 (en) Plasma etchimg method and plasma etching apparatus
JP6630649B2 (ja) プラズマ処理方法
JP5750496B2 (ja) プラズマ処理方法
US8128831B2 (en) Plasma etching method and computer-readable storage medium
US9607811B2 (en) Workpiece processing method
TWI442468B (zh) Plasma processing device and plasma processing method
JP5853087B2 (ja) プラズマ処理方法
JP2007531996A (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去するシステム及び方法
US11251052B2 (en) Plasma processing method and plasma processing apparatus
JP6169666B2 (ja) プラズマ処理方法
TWI650813B (zh) 電漿處理方法
JP5704192B2 (ja) プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP2009260091A (ja) プラズマ処理装置のシーズニング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130308

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131225

R150 Certificate of patent or registration of utility model

Ref document number: 5450187

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350