TWI650813B - 電漿處理方法 - Google Patents

電漿處理方法 Download PDF

Info

Publication number
TWI650813B
TWI650813B TW106102449A TW106102449A TWI650813B TW I650813 B TWI650813 B TW I650813B TW 106102449 A TW106102449 A TW 106102449A TW 106102449 A TW106102449 A TW 106102449A TW I650813 B TWI650813 B TW I650813B
Authority
TW
Taiwan
Prior art keywords
plasma
gas
processing chamber
etching
wafer
Prior art date
Application number
TW106102449A
Other languages
English (en)
Other versions
TW201812897A (zh
Inventor
渡邊勇人
森政士
荒瀬高男
岩瀬拓
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW201812897A publication Critical patent/TW201812897A/zh
Application granted granted Critical
Publication of TWI650813B publication Critical patent/TWI650813B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • B08B9/46Inspecting cleaned containers for cleanliness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01008Oxygen [O]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01016Sulfur [S]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本發明之目的係提供在製品晶圓之連續處理中,可以抑制金屬系化合物之產生的電漿處理方法。
本發明係在金屬製之處理室中對試料進行電漿蝕刻的電漿處理方法,其特徵在於:使用電漿而對上述試料進行蝕刻,且於上述試料之蝕刻後,對上述處理室進行電漿洗淨,且於上述電漿洗淨後,使用含有S和O之單氣體而對上述處理室進行電漿處理。

Description

電漿處理方法
本發明係關於半導體製造裝置之製程,尤其關於電漿蝕刻之電漿處理方法。
在對成為MOS(Metal-Oxide-Semiconductor)電晶體之閘極電極的Poly-Si膜、對Poly-Si膜進行圖案製作之時所使用之硬遮罩的SiO2、SiON或C系之膜進行電漿蝕刻之情況下,C系或Si系之反應生成物堆積於真空容器(處理室內)而引起蝕刻性能的歷時變化。
為了抑制該歷時變化,一般使用包含SF6、NF3、CF4等之F系氣體、氧之混合氣體電漿而除去堆積的反應生成物的電漿洗淨。再者,該電漿洗淨時,一般進行如在晶圓台上不載置虛擬晶圓之狀態下所進行的無晶圓洗淨的手法。在實施該無晶圓洗淨之情況下,由於在晶圓載置電極上不設置晶圓,故有電極之晶圓載置面藉由電漿之照射而消耗,且構成晶圓載置面之金屬元素擴散至腔室內而引起金屬污染之情形。
例如,在電極之晶圓載置面之材料以氧化鋁(Al2O3)為主成分之情況下,有由於電極表面之消耗引起Al污染之虞。
作為解決該問題之手段,如專利文獻1所記載般,揭示有構成電漿處理裝置中之平台電極之被處理體之載置面的材料係以Al2O3為主成分之材料,作為被處理體之蝕刻處理方法,蝕刻處理用之氣體使用BCl3,藉由洗淨放電手段在對第N片之被處理體的特定電漿處理和對第N+1片之被處理體的特定處理之期間所進行的洗淨放電中,藉由進行第1洗淨放電和第2洗淨放電之至少兩個洗淨放電,該第1洗淨放電係使用O2當作洗淨氣體的放電,與蝕刻處理用之氣體中所含之金屬元素之B反應而從揮發性之反應生成物B-Cl附著物選擇性地除去Cl,該第2洗淨放電係藉由使用SF6或CxFy氣體當作洗淨氣體的放電,除去還原性之元素B,以降低對被處理體進行的蝕刻處理中之金屬污染之量的技術。
再者,作為抑制對具有金屬之晶圓進行電漿蝕刻之情況下的製程性能之變動的技術,如專利文獻2揭示於塗佈處理後對晶圓進行電漿蝕刻,且在電漿蝕刻進行金屬洗淨及藉由含氟氣體的電漿洗淨。
〔先前技術文獻〕 〔專利文獻〕
[專利文獻1]日本特開2015-32780號公報
[專利文獻2]日本特開2011-192872號公報
近年來,在3D-NAND等之三次元構造裝置開發中,使用深孔、深溝蝕刻,製品晶圓之電漿處理變得長時間化。此結果,由於堆積物朝處理室或晶圓台之附著量也增加,故處理室之洗淨工程也變得長時間化。隨著該長時間化,被除去附著物之部分的氧化鋁表面或耐酸鋁表面等之金屬表面露出至電漿的時間越多,越使氟和金屬表面反應而重新產生AlFx等之金屬系的化合物。藉由該金屬系化合物之產生,於下一個製品晶圓之電漿處理時,會產生如AlF等之異物附著而良率下降或裝置特性之劣化的問題。
如此一來,在專利文獻1所揭示之方法中,無充分考慮到除去實施長時間之洗淨之情況下的AlFx等之金屬系的化合物。
再者,即使在使用專利文獻2所揭示之塗佈步驟之情況下,與專利文獻1相同也無充分考慮到除去實施長時間之洗淨之情況下的AlFx等之金屬系的化合物。
為了解決如此之問題,本發明之目的係提供在製品晶圓之連續處理中,可以抑制金屬系化合物之產生的電漿處理方法。
本發明係在金屬製之處理室中對試料進行電漿蝕刻的電漿處理方法中,具有:使用電漿而對上述試料進行蝕刻的工程;於上述試料之蝕刻後,使用含有氟之氣體而對上述處理室進行電漿洗淨的工程;及於進行上述電漿洗淨之工程後,使用含有S和O之單氣體而對上述處理室進行電漿處理的工程。
藉由本發明,在製品晶圓之連續處理中,可以抑制金屬系化合物之產生。
101‧‧‧電漿生成用高頻電源
102‧‧‧第一匹配器
104‧‧‧電磁鐵
106‧‧‧處理室
107‧‧‧氣體導入口
111‧‧‧VHF放射天線
112‧‧‧噴淋板
113‧‧‧晶圓
116‧‧‧晶圓台
117‧‧‧第二匹配器
119‧‧‧RF偏壓電源
圖1為在本發明之一實施例之電漿處理裝法中所使用之電漿處理裝置之縱剖面圖。
圖2為表示本發明之一實施例之電漿處理方法的流程圖
圖3為表示Al污染量的圖示。
圖4為表示對Al2O3基板表面進行XPS分析之結果的圖示。
圖5為表示對SiO2基板表面進行XPS分析之結果的圖示。
圖6為表示本發明之一實施例之電漿處理方法的流程 圖。
首先,針對在本發明之一實施例之電漿處理中所使用之電漿處理裝置的電漿蝕刻裝置進行說明。圖1為平行平板型之有磁場VHF(Very High Frequency)電漿蝕刻裝置之縱剖面圖。該電漿蝕刻裝置具備處理室106和VHF放射天線111和真空泵(無圖示)及壓力控制閥(無圖示)。另外,處理室106係由鋁或不鏽鋼等之金屬所構成,作為處理室106之內壁之表面材料,使用石英或含釔膜,還有耐酸鋁覆膜。
蝕刻用氣體經由質量流量控制器(無圖示)和止閥(無圖示)而通過氣體導入口107,從噴淋板112之同心圓板之孔分別導入至處理室106內。而且,從被設置在處理室106之下部的排氣口(無圖示),藉由渦輪分子泵(無圖示)及乾式泵(無圖示)排氣。如此被導入之蝕刻用氣體,藉由電漿產生手段所照射之電磁波之能量被解離而生成、維持電漿。
電漿之產生手段具有200MHz之VHF波之電漿生成用高頻電源101,和第一匹配器102,和從電磁鐵104所構成的磁場產生手段。作為載置試料之晶圓113的晶圓台116能使用複數溫度控制手段等(無圖示)而將晶圓台116之複數部分控制成不同的特定溫度。
此時,為了提升晶圓113和晶圓台116之熱 性接觸,採用以靜電吸附晶圓的所謂靜電吸附(Electric Static Chuck)方式,晶圓台116之晶圓側表面係由以氧化鋁(Al2O3)為主之陶瓷膜所構成。
在晶圓台116連接有將離子從電漿中拉入晶圓113,且用以控制其離子能量之4MHz之RF偏壓電源119,和第二匹配器117。
接著,針對本發明之第一實施例的電漿處理方法,一面參照圖2一面進行說明。
圖2係本發明之一實施例的電漿處理方法之流程圖。隨著電漿處理開始,在步驟S201中,藉由搬運手段(無圖示),將製品晶圓搬入處理室106而載置於晶圓台116。接著,在步驟S202中,藉由特定之電漿處理條件,對被載置於晶圓台之製品晶圓進行電漿蝕刻。另外,本實施例之特定的電漿處理條件設為以三次元記憶體製造用之電漿處理條件。接著,在步驟S203中,藉由搬運手段(無圖示)從處理室106搬出被電漿蝕刻之製品晶圓。
接著,在步驟S204中,在晶圓台116之晶圓之載置面露出之狀態(在晶圓台116不載置晶圓的狀態)下,對處理室106內進行電漿洗淨。該電漿洗淨所使用之氣體係因應處理室106之堆積物之組成或其反應生成物之揮發性而選擇適當的氣體種類。例如,堆積物主要包含Si之情況下,使用其反應生成物之揮發性高,含有SF6、NF3、CF4、CHF3等之F元素的氣體、含有該F元素之氣 體和O2之混合氣體或是含有該氟元素的氣體和N2之混合氣體系。
再者,堆積物主要以碳為主之情況下,使用含有O2或N2和F元素的混合氣體。再者,堆積物主要以金屬為主之情況下,使用Cl2氣體、Cl2和BCl3之混合氣體。
依此,作為本實施例之步驟S204之電漿洗淨,若至少實施堆積物主要以Si為主之情況的電漿洗淨、堆積物主要以碳為主之情況的電漿洗淨,或堆積物主要以金屬為主之情況的電漿洗淨中之任一者而加以實施即可,在本實施例中,使用O2氣體和SF6氣體之混合氣體所產生的電漿而除去碳系堆積物,且使用藉由SF6氣體和Ar氣體之混合氣體所產生的電漿而除去Si系堆積物。
藉由實施該步驟S204之電漿洗淨,可以除去在步驟S202之製品晶圓之電漿蝕刻處理中,附著於處理室106之反應生成物或附著性氣體所引起之包含Si或C的堆積物,依此可以使蝕刻性能安定化。
而且,於對持有金屬閘極構造的製品晶圓進行處理之後,除去附著於處理室106等之包含Ti、Ta、Hf等之金屬的堆積物之情況下,藉由在步驟S204之處理之最終步驟中插入使用SiCl4氣體而使矽氧化膜系之覆膜堆積於處理室106表面的步驟,可以降低由於處理室內106或晶圓台116之表面(氧化鋁)所引起的金屬污染對製品晶圓造成的污染。
接著在步驟S205中,使用含有COS氣體或SO2氣體等之S元素和O元素之氣體以作為整理工程,對處理室106內進行電漿處理。藉由該使用COS氣體或SO2氣體等之S元素和O元素之氣體的電漿處理,如後述理由,可以除去堆積在處理室106內之AlFx等之金屬系之化合物。另外,在本實施例中,使用COS氣體和O2氣體的混合氣體。在此,如圖2所示般,將步驟S204之電漿洗淨和步驟S205之整理工程合併的工程稱為「單片後處理」。
接著,在步驟S206中,判斷有無未處理之製品晶圓。在具有未處理之製品晶圓之情況下,依序實施步驟S201至步驟S206,於無未處理之製品晶圓之情況下,前進至步驟S207。在步驟S207中,根據事先決定如何實施污染、異物檢查的基準來進行判定。在判定實施污染、異物檢查之情況下,在步驟S208中,判定實施污染、異物檢查,當判定不實施污染、異物檢查之情況下,結束電漿處理。此外,在本實施例中,在步驟S208之污染、異物檢查中,將晶圓載置於晶圓台116而實施藉由10秒間之Ar氣體的電漿處理而測量金屬的污染量。
針對Al之污染量,於圖3表示比較本實施例之電漿處理方法和作為比較例的電漿處理方法的實驗結果。此外,作為比較例的電漿處理方法,實施圖2所示之流程圖之電漿處理方法之步驟S201至步驟S204,在圖2之步驟S204之電漿洗淨中追加僅藉由O2氣體進行的電漿 洗淨。
如圖3所示般,藉由實施本實施例之步驟S205之整理工程,可以使Al之金屬污染量從22.0E 10atoms/cm2減少至4.6E 10atoms/cm2。再者,針對Al以外之金屬的污染量,於表1表示比較本實施例之電漿處理方法和以往之電漿處理方法。如表1所示般,Mg、Mn、Fe、Ni、Cu之金屬的污染量也成為本實施例之電漿處理方法比以往之電漿處理方法更減少污染量的結果。
而且,於圖4表示藉由XPS(X-ray Photoelectron Spectroscopy)分析器測量以黏貼Al2O3之小片的晶圓實施藉由SF6氣體進行的電漿洗淨及藉由COS氣體和O2氣體之混合氣體進行的整理之情況下的黏貼Al2O3之小片的晶圓之組成,以及不實施電漿處理之情況下的黏貼Al2O3之小片的晶圓(基準)的結果。另外,Al2O3之小片係作為AlFx等之金屬系之化合物的代用而使用。
再者,於圖5表示藉由XPS分析器測量以黏貼SiO2之小片的晶圓實施藉由SF6氣體進行的電漿洗淨 及藉由COS氣體和O2氣體之混合氣體進行的整理之情況下的黏貼SiO2之小片的晶圓之組成,以及不實施電漿處理之情況下的黏貼SiO2之小片的晶圓(基準)的結果。
圖4表示在Al2O3上附著S和O成分,且Al之成分減少的結果。另外,圖5表示在SiO2表面上幾乎不附著S和O之結果。該些結果表示在Al2O3表面選擇地附著S和O之情形,可想像係Al表面被SO3或SO4 2-和Al2(SO4)3等硫化而使得Al表面被表面改質。再者,由於可想像Al和AlFx等之金屬系的化合物表示相同之傾向,故即使對AlFx等之金屬系的化合物也取得與圖4及圖5相同的結果。
由如此之情形可想像藉由實施具有藉由包含S元素及O元素之氣體對處理室進行電漿處理之整理工程(步驟S206)之本實施例的電漿處理,附著或露出於電漿蝕刻裝置之處理室的側壁之Al之表面被SOx化而防止對晶圓再附著,依此Al污染量降低。認為藉由該Al污染量降低,可以降低由於AlF所引起的異物和抑制裝置性能劣化。
以上,藉由進行本實施之電漿處理,即使三次元記憶體製造等要求的電漿處理後之單片洗淨被長時間化,亦不會產生異物,並且可以抑制來自真空容器內之金屬污染(尤其,Al),能夠抑制良率下降或裝置性能的劣化。
再者,在本實施例之電漿處理方法中,即使 於將製品晶圓搬入至處理室之前,追加整理工程(步驟S205)亦可。具體而言,如圖6所示般,於將製品晶圓搬入處理室106之步驟S201之前,實施整理工程之步驟S601。另外,由於在圖6所示之流程圖之各步驟中,與圖2所示之流程圖之各步驟相同符號的步驟為相同的步驟內容,故省略說明。再者,圖6之步驟S601之整理工程和圖6之步驟S205之整理工程為相同之步驟內容。藉由進行圖6所示之電漿處理,不會使膜構造或開口率不同的製品晶圓受到處理履歷影響,在相同的處理室106中,即使最初的製品晶圓,亦能夠與第2片以後之製品晶圓相同降低金屬污染或異物。
而且,在本實施例之步驟S204中,雖然係以在晶圓台116不載置晶圓之狀態而進行說明,但是由於作為本案發明之課題的AlFx等之金屬系化合物的「Al」,並非僅以從晶圓台116之載置面析出為對象,也以從處理室內壁析出的「Al」為對象,故可以取得即使在晶圓台116載置晶圓亦可以取得與本實施例相同之效果。
再者,本實施例之步驟S202,雖然以三次元記憶體製造用之電漿處理條件之電漿處理而進行說明,但是即使在金屬閘極電極加工、fin-FET製造等之電漿處理條件亦可以取得與本實施例相同之效果。
再者,在圖2所示之電漿處理方法中,雖然省略於晶圓搬入(步驟S201)前實施的老化處理,但是即使藉由於晶圓搬入(步驟S201)前實施老化處理,對 洗淨處理室106內之各零件進行洗淨,使得堆積物之附著程度或處理室106內之零件之溫度等與製品處理時大有不同之情況下,亦可以與本實施例相同抑制金屬污染及異物之產生。
再者,作為本發明之實施例,雖然以適用於圖1所示之VHF乾蝕刻裝置之情況下進行說明,但是即使在使用如電容耦合電漿或感應耦合電漿、ECR(Electron Cyclotron Resonance)電漿之其他電漿源的電漿蝕刻裝置,亦可以藉由使用適合於各個電漿源之氣體混合比、氣體流量、壓力、電漿生成用高頻電力被調整後的整理工程,取得與本實施例相同之效果。而且,即使在CVD等之其他的電漿處理裝置中,亦能夠藉由將包含S或O之氣體,或是各個的混合氣體導入至CVD等之其他的電漿處理裝置而進行圖2或圖6之流程圖般之電漿處理,降低Al等之金屬污染。

Claims (4)

  1. 一種電漿處理方法,在金屬製之處理室中對試料進行電漿蝕刻,該電漿處理方法之特徵在於具有:使用電漿而對上述試料進行蝕刻的工程;於上述試料之蝕刻後,使用含有氟之氣體而對上述處理室進行電漿洗淨的工程;及於進行上述電漿洗淨之工程後,使用COS氣體和O2氣體的混合氣體而對上述處理室進行電漿處理的工程。
  2. 一種電漿處理方法,在金屬製之處理室中對試料進行電漿蝕刻,該電漿處理方法之特徵在於具有:使用電漿而對上述試料進行蝕刻的工程;於上述試料之蝕刻後,使用含有氟之氣體而對上述處理室進行電漿洗淨的工程;及於進行上述電漿洗淨之工程後,使用SO2氣體和O2氣體的混合氣體而對上述處理室進行電漿處理的工程。
  3. 如請求項1或2所記載之電漿處理方法,其中更具有於對上述試料進行蝕刻之工程前,使用COS氣體和O2氣體的混合氣體或SO2氣體和O2氣體的混合氣體而對上述處理室進行電漿處理的工程。
  4. 一種電漿處理方法,在金屬製之處理室中對試料進行電漿蝕刻,該電漿處理方法之特徵在於具有:使用電漿而對上述試料進行蝕刻的工程;於對上述試料進行蝕刻之工程後,對上述處理室進行電漿洗淨的工程;及 於進行上述電漿洗淨之工程後,使用COS氣體和O2氣體的混合氣體或SO2氣體和O2氣體的混合氣體而對上述處理室進行電漿處理的工程,上述電漿洗淨包含使用含氟之氣體除去含有矽之膜的電漿洗淨,和除去含有碳之膜的電漿洗淨,和除去含有金屬之膜的電漿洗淨。
TW106102449A 2016-09-21 2017-01-23 電漿處理方法 TWI650813B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-183621 2016-09-21
JP2016183621A JP6609535B2 (ja) 2016-09-21 2016-09-21 プラズマ処理方法

Publications (2)

Publication Number Publication Date
TW201812897A TW201812897A (zh) 2018-04-01
TWI650813B true TWI650813B (zh) 2019-02-11

Family

ID=61621282

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106102449A TWI650813B (zh) 2016-09-21 2017-01-23 電漿處理方法

Country Status (4)

Country Link
US (1) US10056236B2 (zh)
JP (1) JP6609535B2 (zh)
KR (1) KR101953149B1 (zh)
TW (1) TWI650813B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
CN108878319B (zh) * 2018-06-22 2020-11-03 武汉新芯集成电路制造有限公司 一种旋转蚀刻装置及湿法刻蚀机台
KR102638452B1 (ko) * 2018-09-05 2024-02-21 가부시키가이샤 코쿠사이 엘렉트릭 클리닝 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
TW202307954A (zh) * 2021-05-25 2023-02-16 日商東京威力科創股份有限公司 清潔方法及電漿處理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW546707B (en) * 2001-05-04 2003-08-11 Lam Res Corp Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method
US20040045576A1 (en) * 2002-09-06 2004-03-11 Hobbs John Peter Plasma cleaning gas with lower global warming potential than SF6
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
TW201143555A (en) * 2010-02-22 2011-12-01 Hitachi High Tech Instr Co Ltd Plasma cleaning method
TW201222662A (en) * 2010-10-15 2012-06-01 Applied Materials Inc Method and apparatus for reducing particle defects in plasma etch chambers

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3198599B2 (ja) * 1992-04-09 2001-08-13 ソニー株式会社 アルミニウム系パターンの形成方法
JP3326868B2 (ja) * 1993-04-30 2002-09-24 ソニー株式会社 アルミニウム系パターンの形成方法
WO2002058125A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement au plasma
JP2003197605A (ja) * 2001-12-28 2003-07-11 Shibaura Mechatronics Corp ドライエッチング装置およびそのドライクリーニング方法
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
JP4224374B2 (ja) * 2002-12-18 2009-02-12 株式会社日立ハイテクノロジーズ プラズマ処理装置の処理方法およびプラズマ処理方法
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
JP5110987B2 (ja) * 2007-07-05 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびコンピュータ読み取り可能な記録媒体
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2012064773A (ja) * 2010-09-16 2012-03-29 Hitachi High-Technologies Corp プラズマ処理装置
JP2012142495A (ja) * 2011-01-05 2012-07-26 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
CN104282518B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
JP2015032780A (ja) 2013-08-06 2015-02-16 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW546707B (en) * 2001-05-04 2003-08-11 Lam Res Corp Plasma cleaning of processing chamber residues using duo-step wafer-less auto clean method
US20040045576A1 (en) * 2002-09-06 2004-03-11 Hobbs John Peter Plasma cleaning gas with lower global warming potential than SF6
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
TW201143555A (en) * 2010-02-22 2011-12-01 Hitachi High Tech Instr Co Ltd Plasma cleaning method
TW201222662A (en) * 2010-10-15 2012-06-01 Applied Materials Inc Method and apparatus for reducing particle defects in plasma etch chambers

Also Published As

Publication number Publication date
US10056236B2 (en) 2018-08-21
KR101953149B1 (ko) 2019-02-28
US20180082825A1 (en) 2018-03-22
JP2018049896A (ja) 2018-03-29
TW201812897A (zh) 2018-04-01
JP6609535B2 (ja) 2019-11-20
KR20180032153A (ko) 2018-03-29

Similar Documents

Publication Publication Date Title
US9960031B2 (en) Plasma processing apparatus and plasma processing method
TWI779753B (zh) 電漿處理裝置及被處理體處理方法
TWI650813B (zh) 電漿處理方法
KR100891754B1 (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
TW200947547A (en) Plasma etching method, plasma etching apparatus, and storage medium
CN107731677B (zh) 处理被处理体的方法
JP5750496B2 (ja) プラズマ処理方法
IL176591A (en) Method of imitation of a conductive material exposed to a passive feature
JP5853087B2 (ja) プラズマ処理方法
JP6169666B2 (ja) プラズマ処理方法
US6545245B2 (en) Method for dry cleaning metal etching chamber
JP2012243958A (ja) プラズマ処理方法
CN109075068B (zh) 蚀刻方法
JP5642427B2 (ja) プラズマ処理方法
JP2015088696A (ja) プラズマ処理方法
JP7232135B2 (ja) ドライエッチング方法及びデバイスの製造方法
TW202036717A (zh) 電漿處理方法及電漿處理裝置
JP2015032780A (ja) プラズマ処理装置及びプラズマ処理方法
JP2001267253A (ja) 半導体装置の製造方法