TWI430361B - Plasma processing device and plasma processing method - Google Patents

Plasma processing device and plasma processing method Download PDF

Info

Publication number
TWI430361B
TWI430361B TW099125079A TW99125079A TWI430361B TW I430361 B TWI430361 B TW I430361B TW 099125079 A TW099125079 A TW 099125079A TW 99125079 A TW99125079 A TW 99125079A TW I430361 B TWI430361 B TW I430361B
Authority
TW
Taiwan
Prior art keywords
film
processing chamber
plasma
processing
wafer
Prior art date
Application number
TW099125079A
Other languages
English (en)
Other versions
TW201133605A (en
Inventor
Masahiro Sumiya
Motohiro Tanaka
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Publication of TW201133605A publication Critical patent/TW201133605A/zh
Application granted granted Critical
Publication of TWI430361B publication Critical patent/TWI430361B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿處理裝置及電漿處理方法
本發明關於電漿處理裝置,特別關於適用於使用電漿進行半導體基板等之表面處理的電漿處理方法。
近年來隨半導體元件之微細化,將微影成像技術形成之遮罩轉印至底層膜之蝕刻工程中,被要求更高精確度之尺寸、亦即要求CD(Critical Dimension)精確度。於量產現場,除高的CD控制性以外,確保CD之再現性乃重要之課題。通常,蝕刻工程中CD變動之主要原因可以列舉:由被處理構件產生之反應生成物之附著於蝕刻腔室內壁,腔室內部構件之長期使用而被消耗,腔室內部構件之溫度變動,自由基對於腔室內壁之附著機率之變化,對蝕刻性能有影響的電漿狀態之變動等主要原因。
於微細電晶體,為控制短通道效應而需要增加閘極絕緣膜之容量,習知係藉由減少閘極絕緣膜之厚度而達成此一目的。但是,閘極絕緣膜之薄膜化會導致漏電流增加,因此閘極絕緣膜被導入具有更高介電係數之材料(High-k)。作為取代氧化膜之High-k材料可為例如HfO2 (鉿氧化膜)。但是習知多晶矽(Poly-Si)電極與HfO2 間存在著材料之不適應,因此需要構成為具備具有適當工作函數之金屬膜。金屬材料有各種,如非專利文獻1(Semiconductor International 2008/1號)之揭示可使用TiN、La等。
電漿處理裝置係依晶圓別或批次別被實施使用電漿之潔淨處理,在以碳(C)、氧化膜、多晶矽、氮化膜等為對象的製程,主要藉由包含F(氟)、Cl(氯)、O(氧)之任一或混合之電漿實施潔淨。另外,蝕刻腔室內壁構件被消耗而產生之金屬等(例如Al(鋁))附著於腔室內時,僅藉由電漿潔淨難以除去,因此,事先使用陳化(seasoning)等將腔室內環境保持於一定之技術被檢討。此種技術之例習知有特開2004-031380號公報(專利文獻1)揭示者。
另外,美國專利第7204913號公報(專利文獻2)揭示之技術為,依每一晶圓處理別對反應室內壁實施塗布,而減少反應室內壁狀態變化伴隨之對製程性能之影響。
另外,蝕刻金屬材料(例如TiN)時,如Jounal of Vacuum Science and Technology B24,2191(2006)(非專利文獻2)之揭示,金屬材料附著於腔室內壁導致藉由上述氣體系進行完全之潔淨處理有所困難,此乃習知者。
專利文獻1:特開2004-031380號公報
專利文獻2:美國專利第7204913號公報
非專利文獻1:Semiconductor International 2008/1號
非專利文獻2:Jounal of Vacuum Science and Technology B24,2191(2006)
但是,上述習知技術並未充分考慮到以下問題點。
亦即,於專利文獻1,基於塗布膜本身而成為異物原因之物質、亦即粒子之游離而有可能產生異物,因此膜之塗布條件之最佳化乃必要者。但是,本習知技術為考慮此一條件。
另外,隨半導體積體電路之集積度提高,長期之CD變動之抑制被要求,但是,如非專利文獻2之揭示,處理金屬材料膜時(通常稱為金屬處理),該材料或其化合物附著於處理室內壁面殘留時,於之後之處理室內之處理,該殘留之金屬材料有可能導致製程性能變動。此種製程性能之變動,會導致半導體晶圓等處理對象膜之處理結果變動,隨著處理數目增多處理結果獲得之形狀、亦即所謂CD(Critical Dimension)會變動。
為解決此一問題可考慮依據每一晶圓處理別進行除去殘留物之潔淨處理,或者進行製程性能變動之抑制處理(例如陳化處理(seasoning process))等。但是,實際之量產現場,進行此種特定處理來處理特定數之批次處理時,存在著全體之作業效率會降低之問題。上述習知技術為考慮此一問題。
本發明目的在於提供可使處理結果所獲得之形狀變動較少的電漿處理裝置或電漿處理方法。另外,提供可以提升處理效率、提高作業效率的處理裝置或電漿處理方法。
達成上述目之電漿處理裝置或電漿處理方法,係具備:處理室,被配置於真空容器內部;試料台,被配置於該處理室下部,其上面載置有處理對象晶圓;排氣裝置,用於對上述處理室內部進行排氣、減壓;及導入孔,被配置於上述試料台上方,用於對上述處理室導入處理用氣體;藉由使用上述處理用氣體所形成之電漿,對配置於上述晶圓上面的膜構造進行蝕刻處理者;上述膜構造係構成為在基板上具有阻劑膜、遮罩膜、多晶矽膜以及絕緣膜者;於將上述晶圓載置於上述試料台上而對配置於上述遮罩膜下方之多晶矽膜進行蝕刻之前,係於上述處理室內部形成電漿,而在該處理室內部之構件表面進行以含有Si成份之披膜予以披覆的工程。
又,於披覆上述披膜之工程,係對上述處理室內部供給以Si或Si以及O或Si以及C之其中至少任一為含有成份的氣體而達成。
另外,於披覆上述披膜之工程之後,為處理該披膜之表面而實施在上述處理室內部形成電漿之後處理工程之後,將上述晶圓配置於上述處理室內部而進行蝕刻處理而達成。
另外,上述膜構造係具有由配置於上述多晶矽膜與上述絕緣膜之間的金屬材料構成之金屬膜,實施由上述披膜除去上述金屬材料用之電漿之形成的潔淨(cleaning)處理。
以下參照圖面說明本發明之實施形態。
(第1實施形態)
參照圖1~10說明本發明之實施形態。
圖1表示本發明實施形態之電漿處理裝置之構成概略說明之縱斷面圖。特別是,本實施形態中說明使用微波ECR(Electron Cyclotron Resonance)之蝕刻裝置。
本圖中,實施形態之電漿處理裝置,係在上部呈開放的真空容器101之上部設置:噴氣板102(例如石英製或三氧化二釔(Y2 O3 )製),其具有圓板形狀,係以中央部為中心而均等配置有複數個導入孔用於對真空容器101內導入蝕刻氣體;及介電體窗103(例如石英製);真空容器101內之具有大略圓筒形狀之處理室104內部係藉由介電體窗103被密封。於噴氣板102被連結流入蝕刻氣體用的氣體供給裝置105,和噴氣板102上方之介電體窗103之間的空間,係由氣體供給裝置105介由管路、通路被連接,介由該空間,經由導入孔對處理室104內部供給處理用氣體。
又,於真空容器101下方,係被配置於其內部之處理室104之大略圓筒形狀之空間底部,介由真空排氣口106而被連接、連通於真空排氣裝置(未圖示)。另外,為將電漿產生用之電力傳送至處理室104,於介電體窗103上方設有電磁波放射用之導波管107(或天線)。
被傳送至導波管107(或天線)的電磁波,係藉由電磁波產生用電源109產生振盪而供給至該導波管107內部。本實施形態中,電磁波之頻率並未特別限定,本實施形態中使用2.45GHz之微波。
於處理室104外周側之真空容器101外部,使磁場產生用之磁場產生線圈110以包圍其的方式被配置,藉由電磁波產生用電源109被振盪而介由導波管107及介電體窗103、噴氣板102被導入處理室104內的電場,係和磁場產生線圈110所產生之磁場引起相互作用,使供給至處理室104內之處理用氣體解離,而於處理室104內產生電漿。另外,在和圓板狀噴氣板102對向之處理室104內之下部,被配置有於其之圓形狀之上面載置有處理對象之圓板狀半導體製晶圓112的試料台、亦即晶圓載置電極111。
晶圓載置電極111係具備大略圓筒形狀,其之電極上面係被溶射膜披覆,該溶射膜為氧化鋁或氧化釔等之陶瓷材料藉由溶射噴鍍形成有膜的溶射膜(未圖示)。另外,於溶射膜內部配置有由金屬構件構成之膜狀電極(未圖示),該電極係介由高頻濾波器115電連接於直流電源116。
另外,於晶圓載置電極111內部所配置之金屬製區塊,係介由匹配電路113被連接於高頻電源114,該區塊亦作為高頻電源之作用。另外,於晶圓載置電極111內部之上述電極之區塊內部,使內部流通溫度調節用媒體的冷媒用流路117以同心圓狀或旋狀被配置,冷媒用流路117係介由配置於晶圓載置電極111外部之管路被連接於調溫器118。
於電極之區塊內之上部被配置加熱器119,其被連接於加熱器控制器120。另外,於晶圓載置電極111配置溫度感測器121,依據由溫度感測器121所輸出之信號將晶圓載置電極111及晶圓112之溫度設為所要溫度,如此則而使控制加熱器控制器120及冷媒溫度的調溫器118之動作被調節。
晶圓112,係藉由機器手臂(未圖示)等之搬送裝置臂搬送至處理室104內部載置於晶圓載置電極111上面之後,藉由直流電源116施加之直流電壓之靜電力,被吸附於晶圓載置電極111上之溶射膜上。此時,在晶圓112背面與溶射膜間之空間被供給具有導熱性之氣體而促進晶圓112與晶圓載置電極111之間之熱傳導,進行晶圓112之溫度調節。
於此狀態下,由氣體供給裝置105將所要之處理用氣體、本例為蝕刻氣體供給之後,設定處理室104內成為特定壓力之同時,於內部產生電漿。之後,由連接於晶圓載置電極111之高頻電源114施加高頻電力,於溶射膜上方形成偏壓電位而由電漿將離子引入晶圓,使晶圓112被實施蝕刻處理。
另外,於構成處理室104內壁之真空容器101之側壁部,被連接檢測上述電漿之處理中之發光的發光分光器123,由該發光分光器123獲得之輸出係被傳送至與其連接之發光資料處理裝置124,使用發光資料處理裝置124內之運算器進行發光資料之數值解析、檢測。
圖2表示於本實施形態之電漿處理裝置中,設為處理對象之被處理構件、亦即半導體晶圓上面所配置之膜構造之模式之縱斷面圖。被處理構件之膜構造,係如圖2(a)所示於底層之基板207上,由上起具備阻劑(Photo Resist,PR)(遮罩)201或硬質遮罩(使用碳(C)或SiO2 、SiN或SiON等之材料或者以彼等為主材料之膜)202、多晶矽(Poly-Si)膜203、作為絕緣膜層之氧化膜204。或者如圖2(b)所示,於基板207上由上起具備阻劑(Photo Resist,PR)(遮罩)201或硬質遮罩(使用碳(C)或SiO2 、SiN或SiON等之材料或者以彼等為主材料之膜)202、多晶矽(Poly-Si)膜203、金屬(金屬、例如TiN)膜205、High-k材料(例如HfO2 )之膜(High-k膜)206。
彼等金屬材料之種類及積層數、厚度,係於元件及NMOS部分、PMOS部分不同者。彼等之膜構造,為形成半導體裝置之電路、特別是形成閘極或配線之構造而被實施蝕刻處理,加工成為特定形狀而被求得。
本實施形態中,各個膜係藉由不同蝕刻配方(etching recipe)被處理。另外,遮罩(mask)部分,若僅為有機材料構成之習知使用之阻劑膜201,則作為遮罩無法滿足近年來之微細加工對應之膜厚或耐蝕刻性,因此,於阻劑膜201下層具有非晶質碳(ACL)、SiN或SiON及SiO2 等材料構成之硬質遮罩201或彼等之混合之積層構造。藉由該硬質遮罩201可進行下層之多晶矽或金屬材料之蝕刻。於此省略遮罩201之蝕刻工程之說明。
本實施形態中,於多晶矽膜203之蝕刻時,係以含有Cl(氯)成份之氣體(氯系氣體、例如Cl2 )與含有F(氟)成份之氣體(氟系氣體、例如CF4 )之混合氣體、或者Cl2 與HBr之混合氣體等,作為其成份之至少一部分予以使用。另外,必要時,亦可使用O2 等氣體。
之後,於如圖2(b)所示膜構造之金屬(例如TiN)膜205之蝕刻工程,最初為除去形成於金屬層界面之自然氧化膜,而增加較高之晶圓偏壓輸出,使具有高離子能量之離子射入,主要藉由濺鍍效果進行自然氧化膜之除去。此時使用之處理用氣體可以使用含有HBr或Ar等者,或亦可使用其他氣體系。
除去自然氧化膜之後,金屬膜205之蝕刻主要以Cl2 或Cl2 與HBr之混合氣體作為處理用氣體予以使用。本實施形態之金屬膜205為薄膜,因此使成為較低離子能量的方式調整晶圓偏壓輸出而進行蝕刻。
之後,針對以BCl3 或BCl3 與Cl2 之混合氣體作為處理用氣體予以使用之高介電係數材料所構成之High-k(例如HfO2 )膜206進行蝕刻。於本步驟中,藉由使用低離子能量條件,而可達成良好蝕刻特性(形狀、選擇比)等。
以下依據圖3~10說明本發明第1實施形態之處理對象晶圓之處理之詳細。於此係針對蝕刻如圖2(a)所示膜構造之工程,但如圖2(b)所示膜構造亦具有同樣效果。
本實施形態中,於圖2(a)所示膜構造之蝕刻中,例如使用SiO2 、SiN等材料作為遮罩201時,蝕刻係使用包含Cx Hy Fz (x、y、z=0、1、2、‧‧‧)成份之氣體或此種氣體與稀釋用氣體及氧等氣體。關於多晶矽膜203,係如上述說明使用氯系(Cl)氣體(例如Cl2 )與氟(F)系氣體(例如CF4 )之混合氣體或Cl2 與HBr之混合氣體等。又,必要時亦可使用O2 等之氣體。
本發明人針對進行彼等蝕刻之各工程之後,測定沈積於處理室104內壁面之附著物,而在處理室104內部設置模擬該內壁之樣本,藉由XPS(X-ray photoelectron spectroscopy)測定沈積於該樣本上之附著物。本實驗使用之處理室104之內壁為石英,特別是因為和多晶矽膜203之蝕刻工程所產生之反應生成物之類似組成,因此為識別反應生成物與構成內壁之構件材料,而使用Al2 O3 作為上述樣本。
實驗中,於遮罩202之蝕刻步驟係以包含CHF3 /SF6 ,於作為多晶矽膜203之蝕刻步驟的Poly-BT(Break-Through)步驟係以包含Cl2 /CF4 /Ar,於Poly-EndPoint以及Poly-OE(Over-Etching)步驟係以Cl2 /HBr/O2 的氣體作為處理用氣體予以使用。
各步驟之處理後由樣本上檢測出之元素組成比圖示於圖3。圖3表示處理圖2(a)之膜構造時獲得之附著物之元素組成比之分布圖。
如本圖所示,形成於處理室104內壁之附著物之主成份,於遮罩202~Poly-BT步驟為C、F,觀察Cls光譜時(省略圖示)發現峰值出現於較C-C鍵結更高之能量側,因此可推測出CFx (x=1、2、3)為主成份。於Poly-EndPoint~Poly-OE步驟係以Si、O為沈積之主成份,觀察Si2p光譜時發現峰值出現於103eV附近,因此可推測出SiOx 膜被形成。
又,本實驗中,針對由樣本表面(Al2 O3 )之Al獲得之電子強度進行測定,藉由將附著物之膜之CFx 及SiOx 之密度假設為特定之值,可算出各步驟之附著物之膜厚。於此,SiOx 設為SiO2 ,CFx 假設為與FTFE(Poly-tetra-fluoro-ethylene)類似,密度為2.2g/cm3 時之膜厚被圖示於圖4。於遮罩202~Poly-BT步驟雖被形成CFx 膜,於Poly-EndPoint~Poly-OE步驟雖被形成SiOx 膜,但於蝕刻初期與由CFx 膜至SiOx 膜之切換步驟(Poly-BT以及Poly-EndPoint)之步驟中暫時被形成於壁的附著物之膜會消失。亦即,該期間為,處理室104之壁表面呈露出,而在處理室104內壁狀態隨時間變化時容易受其影響的期間。
藉由處理室104內壁之狀態變化,欲抑制晶圓112之處理特性或結果受到影響所導致之變動時,可以考慮在晶圓112之處理前,針對處理室104之面對電漿之內壁表面藉由特定材料之披膜予以披覆(塗布)。對上述構造之膜進行蝕刻時,依據膜種而使用之蝕刻氣體系會不同,某些情況下,如上述說明之例,處理室104內壁之附著物之膜會消失,內壁有可能露出,因此,披覆處理室104內部表面之披膜(以下稱塗布膜)較好是,在蝕刻上述膜構造之複數膜時在其對象膜之處理結束為止殘留於處理室104內部表面,至少在上述膜之切換步驟時殘留。
因此,本實施形態中,事先沈積蝕刻處理中消耗之膜厚以上之膜厚。另外,在上述膜構造之蝕刻處理中,係使用供給氟(F)系、氯(Cl)系氣體之處理用氣體所形成之電漿,因此,塗布膜需要對此種電漿具有高的耐電漿性。
圖5表示蝕刻處理圖2(b)之膜構造時,在處理室104內部之壁面上塗布含有Si(矽)成份(Si系)之膜、含有C(碳)成份(C系)之膜、含有SiO成份(SiO系)之膜時,彼等各塗布膜之消耗量之圖。本圖中,以C(碳)為主體之塗布膜,在使用氧之步驟(例如in-situ Ash step(現場去灰步驟))等之消耗量大,由此可判斷耐電漿性低。另外,Si或SiO系之塗布膜之消耗量相對被抑低,耐電漿性高,因此,本發明人判斷Si或SiO系之膜作為塗布膜較佳。
特別是含有Si之塗布膜,含有Si與氧(O)之膜,以及含有Si與碳(C)之膜之耐電漿性良好。欲使此種塗布膜附著於處理室104內之構件表面時,電漿形成用之處理用氣體之氣體種,較好是例如SiCl4 與O2 之混合氣體,或SiCl4 與CH4 之混合氣體。添加Ar等之稀釋用氣體亦可形成同樣之塗布膜。
圖6表示針對使用SiCl4 與O2 之混合氣體形成之塗布膜表面,藉由XPS測定之Si2p光譜之分布圖。本圖中,亦表示包含分石英之表面在內之結果。於塗布膜及石英之兩者,在大略同一鍵結能量103eV附近具有峰值,因此可知被形成有氧化膜(SiO2 )。
圖7表示藉由SEM(Scanning electron microscopy)測定塗布膜表面獲得之圖像。本發明人針對變化形成塗布膜之條件而獲得之塗布膜表面加以比較檢討結果,獲知設定O2 /SiCl4 流量比小於0.5時,於塗布膜之表面會產生粗糙度。塗布膜之表面存在粗糙度時,塗布膜之不均勻引起之反應室內壁之披覆率不均勻,或因為粗糙度導致裂痕而產生異物等之問題。因此,本實施形態中,於塗布膜形成時導入處理室104內之處理用氣體之O2 /SiCl4 流量比設為0.5以上。
晶圓載置電極111之上面之晶圓112之載置面雖被溶射膜披覆,但在形成塗布膜之電漿表面中,晶圓112未被載置時於載置面亦同樣被形成塗布膜。因此,當晶圓112被載置於晶圓載置電極111上處理對象之膜構造之特定膜被蝕刻處理期間,塗布膜係存在於ESC膜與晶圓間。
依據本發明人檢討發現,此時,形成於溶射膜上之塗布膜表面,如圖8(a)所示,存在微小凸部引起之凹凸或表面之粗糙度之分布不均勻。例如此種凸部係包含Si之材料引起之突起。因為此種凹凸或粗糙度,當對配置於溶射膜內之靜電吸附用薄膜電極施加電壓時,在晶圓與溶射膜之間力量被施加於塗布膜時,以該微小粗糙度為起點而於塗布膜產生裂痕,隨晶圓之處理數目增多,裂痕導致構成溶射披膜之材料成為破片而游離,此會再度污染晶圓112(亦包含其他晶圓)而產生異物之問題。
又,此一問題,不僅在晶圓載置電極111之表面之塗布膜,在配置於處理室104內部之構件表面之披覆同樣有可能產生。例如在塗布膜形成之後於處理室104內產生電漿進行製品用晶圓112之蝕刻處理時,該電漿內之帶電粒子或反應性粒子與構成塗布膜之材料互相作用而產生裂痕或損傷,甚而游離之碎片而有可能引起和上述同樣之污染、異物。
彼等異物附著於晶圓上時,有可能導致製品良品率降低。本實施形態中,為抑制該問題之產生,在晶圓112未被載置於晶圓載置電極111上面之狀態下,如上述說明,藉由電漿處理將塗布膜形成於處理室104內之後,進行減少上述突起或凹凸、粗糙度之表面處理。本實施形態中,此種表面處理,係藉由電漿對塗布膜表面實施特定蝕刻而進行。將對蝕刻處理之電漿具備耐電漿性之披膜予以披覆之後,進行此種披膜之後處理(after treament),如此則如圖8(b)所示,可以減少塗布膜表面之粗糙度、突起或凹凸,可抑制上述異物之產生。
此種塗布膜形成後之處理之實施條件,使用含有F(氟)成份(F系)氣體之電漿,例如使用SF6 之電漿之處理為有效者。另外,變化進行該後處理(after treament)之時間時,由塗布膜表面游離之粒子數之變化被圖示於圖9。
如圖9所示,使用上述電漿之後處理之繼續時間至大約2秒為止粒子之數目急速減少,經過約2秒後,該數成為漸漸近似於0之值。本發明經由此一發現,而想起藉由使用大約2秒以上之電漿之後處理,可以減少塗布膜表面之粗糙度或缺陷,可抑制異物之產生。以下稱呼將此種塗布膜形成於處理室104內之處理之後,使用電漿來減少表面之粗糙度或缺陷之處理為後處理。
圖10為本實施形態之電漿處理裝置之中被實施之半導體晶圓之處理流程。又,本圖中表示,將晶圓收納盒等可以收納晶圓的容器內所儲存之特定數之晶圓作為一批次予以處理,依據各批次別,而由設定成為適合電漿處理裝置100內之處理室104內部所配置之構件表面之處理狀態的熟化處理(aging process)(步驟1001)開始之例。
熟化處理,係於處理室104內未配置處理對象之晶圓112之狀態,導入特定氣體例如Ar等惰性氣體而形成電漿,將處理室104內部構件之表面溫度或粗糙度、材質等調整成為適合其後進行之晶圓112之處理狀態者。之後,於處理室104內被導入潔淨用氣體而形成電漿,進行潔淨處理而將處理室104內部表面所附著、殘留之粒子或披膜、沈積物予以除去(步驟1002)。
針對潔淨處理被實施而成為潔淨狀態之處理室104之內表面,進行使蝕刻處理之特性穩定的披膜之披覆處理(塗布膜處理)(步驟1003)。本實施形態之塗布膜處理,如上述說明,欲使塗布膜附著於處理室104內之構件表面,作為電漿之形成用的處理用氣體之氣體種,例如係將SiCl4 與O2 之混合氣體、或者將SiCl4 與CH4 之混合氣體以及稀釋用氣體之Ar同時供給而進行。使用彼等之氣體而形成電漿,而使處理室104內部配置之構件例如處理室104之內側側壁之表面或晶圓載置電極111之上面、側面,藉由矽(Si)或包含SiO之材料所構成之披膜予以披覆。
該塗布膜處理(步驟1003),係於晶圓載置電極111上面之載置面上未載置處理對象之製品製造用晶圓112之狀態下被進行。之後,切換被導入之氣體,例如切換為SF6 而導入處理室104內形成電漿。藉由該電漿進行減低先前之步驟所形成之塗布膜之表面粗糙度或缺陷之後處理(after treament)、亦即蝕刻處理(步驟1004)。
於步驟1004之後,切換上述處理用氣體將晶圓112搬送至處理室104內,載置於晶圓載置電極111上之載置面上(步驟1005)。處理室104內部係藉由閘閥(未圖示)被施予氣密密封之後,如上述說明,導入適合處理對象膜之處理用氣體,而對如圖2所示膜構造進行蝕刻處理(步驟1006)。
晶圓112表面之膜構造之處理結束後晶圓112被搬出處理室102外(步驟1007),電漿處理裝置100之控制裝置(末圖示)係介由通信手段獲得處理之晶圓112是否存在之資訊,判斷處理室104之處理對象之處理是否繼續(步驟1008)。當判斷次一待處理晶圓112存在時回至步驟1002,進行包含塗布膜之沈積膜、膜、殘留之粒子之潔淨處理。
當判斷次一待處理晶圓112不存在時移至步驟1009,和步驟1002同樣洗淨處理室104內之後,結束晶圓112之處理(步驟1010)。另外,處理室104內之處理結束後,處理後之晶圓112處於搬送途中之情況有可能存在,因此當控制裝置判斷晶圓112被收納於原來之晶圓收納盒之原來之位置時,由控制裝置藉由電漿處理裝置100具備之顯示控制器、警報器、閃燈等之警報手段,而告知處理終了。
藉由本實施形態所示彼等處理之被實施,處理室104之內壁狀態隨處理之片數增加、或者隨處理之進行而隨時間變化所導致不良影響,例如處理室104內壁之異物之產生或晶圓112之處理結果之均勻性降低、處理速度或加工形狀之再現性等特性之變動等問題可以被抑制。另外,塗布之披膜之形成後進行後處理,如此則,可抑制塗布膜表面之損傷或狀態之變化,減低異物之產生或對晶圓112之處理之影響。
如此則,可提升蝕刻處理之再現性或良品率。另外,本實施形態中雖說明如圖2(a)所示膜構造之蝕刻,但包含於本實施形態之發明,在對如圖2(b)所示包含金屬膜(例如TiN)的膜構造之蝕刻處理時,藉由步驟1002、步驟1008之潔淨處理,可以將處理室104內部殘留之金屬材料成份、例如以Ti為組成而包含之反應生成物連同塗布膜予以除去,因此可實施再現性良好之電漿處理。
目前為止之實施形態之說明,係針對如圖2(a)所示不包含金屬膜205之膜構造進行蝕刻而製造半導體裝置之工程之說明。以下則針對如圖2(b)所示包含金屬膜205之處理對象之膜構造進行蝕刻之工程予以說明。和先前之實施形態同等之位置則省略其說明。
針對如圖2(b)所示包含金屬膜205之膜構造進行蝕刻之技術,習知技術,係對溼洗淨等之處理室204之內部構件表面實施潔淨處理之後,欲將處理室104內部設為適合其後之晶圓112之蝕刻處理狀態,而將晶圓配置於處理室104內,該晶圓為表面具備Si、PR、或氧化膜等之不含有金屬膜的膜者,形成電漿實施上述陳化處理(seasoning)之後,處理成為製品製造用之晶圓。
圖11表示藉由習知技術進行溼洗淨後,針對上面具備如圖2(b)所示金屬膜/High-k膜之膜構造的特定批次之複數個晶圓進行處理時,多晶矽(Poly-Si)膜之蝕刻速度(rate)之變化圖。如圖所示,習知技術在開始晶圓處理之批次之極初期,蝕刻速率急速增加,之後,蝕刻速率漸漸接近任意之值而成為穩定。
本發明人發現產生此現象之原因為,F(氟)或Cl(氯)等被供給至處理室104內之氣體成份,被吸附於處理室104內殘留之金屬膜成份(例如Ti),因而處理中之F或Cl濃度增加。通常,Ti-F、Ti-O之鍵結穩定,習知使用電漿之潔淨處理條件難以將其除去。另外,為抑制此種製程變動,可以考慮針對和被處理材料所包含之金屬材料(例如TiN)同種之晶圓進行處理,而進行使Ti附著於處理室104內部的Ti陳化處理,使處理室內部環境成為穩定。
圖12表示相對於High-k膜206之蝕刻步驟以及金屬(例如TiN)膜205之蝕刻步驟之條件、例如繼續處理之時間之變化,多晶矽(Poly-Si)膜203之蝕刻速率與殘留於腔室內之Ti量之變化圖。圖中,Ti量之測定、計算,係在處理室104內部殘留Ti之狀態下將潔淨之Si晶圓載置於晶圓載置電極111上,供給Ar作為電漿形成用之氣體而形成電漿,對處理室104內壁面殘留之Ti進行濺鍍結果而附著於晶圓上的Ti量,藉由TXRF(Total reflection x-ray fluorescence)予以測定者。
相對於金屬膜205之蝕刻處理時間,High-k膜206之蝕刻處理時間越長,多晶矽膜203之蝕刻速率變低,另外,彼等時間之比率成為特定值以上時,該蝕刻速率漸漸接近任意之值。同樣,殘留於處理室104內部的Ti量亦隨High-k膜206之蝕刻處理時間比率之增加而變低。
如上述說明,殘留於處理室104內部的Ti係以Ti-F或Ti-O之穩定鍵結狀態殘留,因此於High-k膜206之蝕刻處理步驟,作為處理用氣體係使用含有BCl3 成份之氣體。本發明人推斷,此時之B係作為還原劑使上述Ti-F、Ti-O之鍵結變為容易切斷,而使殘留之Ti容易被除去。
亦即,在本實施形態之膜構造之蝕刻處理中,於金屬膜205之蝕刻處理之步驟中,係對處理室104內供給金屬膜205之金屬成份(例如Ti),另外,在High-k膜之蝕刻處理之步驟中,係除去處理室104內殘留之金屬成份(例如Ti)。各個蝕刻處理之時間,基於製造之半導體裝置之構造各膜厚有所不同,因此對應於製造對象之半導體裝置而殘留於處理室104內之Ti量亦不同。
僅製造單一半導體裝置時藉由上述Ti陳化處理等之手法,使處理室104內壁之表面狀態穩定,而可以使半導體裝置製造用之蝕刻處理之特性穩定。但是,一次製作複數個不同之裝置時,需要依據製品別進行環境之重置(溼處理)以及陳化處理,裝置之停機時間變長,作業效率低之問題存在。
如上述說明,金屬例如Ti系列之反應生成物係以Ti-O、Ti-F等強固之鍵結殘留,因此僅藉由習知之F系列氣體電漿難以將其除去。因此,如上述實施形態之記載,將處理對象之晶圓112設置於處理室104內之晶圓載置電極111上之前,在處理室104內壁以及晶圓載置電極111上面之溶射膜進行形成塗布膜用的電漿處理之後,將晶圓112載置於電極上針對晶圓112之上述膜構造進行蝕刻處理。在蝕刻處理終了後,進行除去塗布膜之潔淨處理。此時係將沈積於塗布膜上之包含金屬膜205之成份的物質例如Ti系反應生成物連同塗布膜同時除去。藉由進行此種處理雖可實現更穩定之電漿處理,但是基於處理條件等,Ti系反應生成物等之金屬材料會殘留於處理室內,該殘留物質會影響其後之金屬膜205之蝕刻處理,處理特性之變動變大之問題存在。
因此,本實施形態中,除此種塗布膜形成後之潔淨處理以外,另外具備除去殘留於處理室104之金屬材料成份的金屬潔淨步驟。作為插入此種金屬潔淨步驟之時序有2個情況,以下分別說明個別之情況。
使用圖13說明第1情況。圖13表示於圖1所示電漿處理裝置,包含金屬潔淨步驟之處理流程。本實施形態中說明依據晶圓別實施該處理,但該動作相關之處理亦可依據晶圓之特定片數別進行。
圖13表示處理室104內部之構件交換等之定期保養後密封處理室,開始減壓再度使電漿處理裝置100動作時,或者開始新的批次處理時之動作流程。首先,本實施形態中,為整合處理室104內部之環境而實施批次前之熟化處理(aging process)(步驟1301)。之後,實施除去通常之反應生成物的潔淨處理(步驟1302)。此種潔淨處理係使用形成於處理室104內之電漿來除去處理室104內壁面上附著、沈積、殘留之物質。
又,該熟化處理及潔淨處理,係藉由和圖10之步驟1001、步驟1002被實施者同等之目的、條件、作用而進行。於該潔淨處理(步驟1302)亦可以除去金屬成份。
之後,藉由具備和圖10之步驟1003同等之目的、條件、作用的上述塗布膜之形成處理,於處理室104內部之構件表面及晶圓載置電極111之上面、側面上形成塗布膜(步驟1303)。之後,實施金屬潔淨之處理據以除去包含於該塗布膜的金屬膜205之成份引起之金屬材料,而將殘留於處理室104內部之金屬予以除去(步驟1304)。之後,實施和步驟1302同等之通常的潔淨處理,將殘留之塗布膜除去之後(步驟1305),進行實際之晶圓112之處理。
本圖中,在將處理對象之晶圓112配置於處理室104內而開始晶圓112之蝕刻處理之前,針對處理室104內壁以及具有溶射膜之晶圓載置電極111上實施沈積塗布膜用之電漿處理及後處理(步驟1306)。之後,將晶圓112載置晶圓載置電極111上,使配置於晶圓112上之處理對象之如圖2(b)所示膜構造實現特定條件而進行蝕刻處理(步驟1307)。
對晶圓112上之處理對象之膜進行之蝕刻處理終了之後,將晶圓112由處理室104搬出之後,在處理室104內部之構件表面及晶圓載置電極111之表面善殘留有步驟1306所形成塗布膜之狀態下,針對處理室104內部殘留之構成金屬膜205之成份例如Ti進行金屬潔淨處理而予以清除(步驟1308)。
如上述說明,Ti大多數情況下成為Ti-O、Ti-F等之穩定鍵結狀態,因此,於步驟1308之金屬潔淨處理中,於處理室104內作為處理用氣體係被供給有B(硼)、H(氫)、C(碳)、Si(矽)之其中任一氣體,或含有彼等組合所構成之成份者。另外,彼等元素之任一或彼等之組合與Cl(氯)或F(氟)供給至處理室104內部而形成電漿,如此則可以除去殘留於處理室104內之Ti。
作為此種金屬潔淨處理使用之處理用氣體之例,可考慮HCl、SiCl4 、BCl3 、CH4 與Cl2 或包含F之氣體SF6 、CF4 、以及Cx Hy Fz 之混合氣體。特別是依據本發明人檢討結果發現,BCl3 與Cl2 之混合氣體、SiCl4 與Cl2 之混合氣體對Ti之潔淨效果高。另外,必要時藉由稀釋氣體等將上述混合氣體予以稀釋亦可獲得同樣效果。
通常載置晶圓112之晶圓載置電極111之載置面係由陶瓷材料構成,例如通常使用Al2 O3 或Y2 O3 等之材料。當此種陶瓷材料構成之構件面臨電漿時,陶瓷會和電漿內之帶電粒子或反應性粒子之相互作用而被蝕刻消耗。本實施形態中,在陶瓷構成之載置面上配置有塗布膜狀態下,可以實施上述後處理或金屬潔淨處理等之電漿處理,因此,電漿對於構成上述載置面之陶瓷之損傷可以被抑制。
上述金屬潔淨處理係被實施直至處理室104內殘留之,特別是塗布膜之成份或與處理室104之內壁構件之成份化合而存在於塗布膜內的金屬成份之物質之量充分減少為止,之後,進行除去塗布膜以及處理室內部殘留之其他反應性粒子之潔淨處理(步驟1309)。該潔淨處理,在塗布膜由含有矽之成份構成之膜時,例如塗布膜形成之電漿處理(步驟1306)使用SiCl4 與O2 之混合氣體或SiCl4 與O2 與Ar之混合氣體實施時,係使用含有氟(F)或含有氟(F)與氧(O)之成份之氣體作為處理用氣體來形成電漿而實施潔淨。
如此則,可實現潔淨效果高的潔淨。例如SF6 、NF3 、或彼此與O2 之混合氣體為較好。該潔淨處理之後,判斷是否有其他之晶圓112之處理,依據要否處理而移至步驟1306或步驟1310。於步驟1310係判斷無待處理之晶圓112因而結束處理室104內之處理。
藉由重複上述電漿處理,可以依據晶圓別使處理室104內部構件表面之狀態復原,調整為適合處理者,因此可實施再現性良好、異物產生少之處理。另外,藉由進行彼等處理,處理室104內部之清掃或元件交換等定期保養前後,以及批次間之處理室104內部之變動可以被抑制,可實現再現性良好之處理。
以下使用圖14說明第2情況。本圖中省略和第1情況同一部分之說明,僅說明不同處。
圖14表示於圖1所示電漿處理裝置,包含金屬潔淨步驟之處理流程之另一例。於第2情況,在實施金屬潔淨處理之時序係和第1情況不同。
於第1情況,金屬潔淨處理係在處理對象之晶圓112之膜構造之蝕刻處理終了後被實施,相對於此,於第2情況,係將塗布膜形成於處理室104內側構件之表面及晶圓載置電極111上之後,在將晶圓112導入處理室104內實施蝕刻處理之前實施金屬潔淨處理(步驟1404)。於該第2情況亦和第1情況同樣,依據各晶圓112使處理室104內部之構件表面狀態被復原、調整,因此,可實現再現性良好、異物產生少之處理。
以下表示針對金屬潔淨處理所除去之金屬成份之效果予以測定之例。圖15表示金屬成份之濃度變化相對於圖14之變形例中之塗布膜深度位置之圖。
本測定中為模擬,在任意晶圓112被進行如圖2(b)所示膜構造之金屬膜205之蝕刻處理而於處理室104內殘留Ti,在之後之晶圓112之處理時,於其上沈積有塗布膜之狀態,因此,於TiN膜上藉由SiCl4 與O2 之混合氣體之電漿處理沈積SiO2 膜。使用SIMS(Secondary ion mass Spectrometry)由該塗布膜(本實施形態中為SiO2 膜)表面測定內部之Ti分布之結果。
圖中X軸之負側表示SiO2 膜、正側表示TiN膜,Y軸表示Ti濃度。由本圖可知SiO2 膜中亦存在Ti,此可推測為處理室104內之Ti朝SiO2 膜中擴散,或者暫時被蝕刻處理而游離於處理室104內部的Ti微粒子再度和SiO2 之沈積同時被沈積於樣本上。
如上述說明,本發明人推測在塗布膜(本實施形態為SiO2 膜)中存在著金屬成份之Ti組成份,因此基於該處理室104內殘留之Ti而導致處理室104內部之氣體分壓或處理條件、特性之隨時間變動。另外,為減少殘留之此種Ti之影響,更增加塗布膜之膜厚亦為有效之手段,但每一晶圓處理實施之塗布膜形成所要時間亦隨之延長,作業效率變低成為問題。
另外,圖中之□係表示形成塗布膜之後,作為金屬潔淨處理而使用BCl3 與Cl2 之混合氣體實施電漿處理時塗布膜內之Ti分布。和未實施時比較,實施金屬潔淨處理時表面附近之Ti濃度減少。如上述說明,藉由實施金屬潔淨處理,可以減少塗布膜表面及其附近之深度位置所存在之金屬成份、亦即Ti之濃度。如此則,可以減輕塗布膜內殘留之金屬成份、例如Ti對處理室104內之環境之不良影響,可實現再現性良好、穩定、異物之產生少的電漿處理。
金屬潔淨處理時之處理用氣體使用SiCl4 與Cl2 之混合氣體時,金屬成份之除去效果依其混合比而不同。例如相對於Cl2 之流量,增加SiCl4 之流量時,Ti之殘留量有減少之傾向。本發明人發現藉由設定SiCl4 /Cl2 之流量比成為0.2以上,可以抑制處理速度等之處理特性變動。另外,更進一步增加SiCl4 之流量時,處理室104內會沈積過剩之Si成份之生成物,因此在進行金屬成份(例如Ti)之金屬潔淨處理時較好是設定SiCl4 /Cl2 之流量比成為0.2~1.0。
本實施形態中,直至處理室內殘留之金屬量充分減少為止實施金屬潔淨處理。於金屬潔淨處理中,藉由檢測電漿之發光可以判斷金屬潔淨處理之終點。
圖16表示對金屬膜事先進行蝕刻處理時與不進行處理時,金屬潔淨處理中之金屬成份引起之發光強度之時間變化圖。本測定中,作為金屬膜205之材料係處理TiN而測定Ti之發光強度之變化。圖中之虛線表示不進行金屬之處理時之發光強度,實線表示進行金屬之處理時之發光強度。對金屬膜205事先進行處理時,發光強度係和潔淨處理之繼續時間同時減少,降低至和不進行金屬之處理時同一位準。
將未事先進行金屬之處理時之發光強度之值記憶於RAM等之記憶手段,以該值為設定值,針對該設定值與處理包含金屬膜205之膜構造後之金屬潔淨處理中獲得之電漿之發光強度進行比較,以判斷和該設定值為同等之時刻作為金屬潔淨處理終了之時刻,而可以判斷為處理之結束。
依據上述實施形態,可以減輕處理室140內部之殘留物對處理之不良影響,使處理室104內部之氣體分壓或內部構件之表面狀態穩定,因此,可抑制處理速度等之特性變動,可以抑制加工結果之形狀之變動,換言之,可以提升加工之精確度。
上述實施形態、變形例係說明使用ECR電漿之電漿處理裝置,但本發明對於其他之電漿裝置,例如使用感應耦合型電漿(ICP)、容量耦合型電漿裝置(CCP)之電漿處理亦可以獲得同樣之效果。
100...電漿處理裝置
101...真空容器
102...噴氣板
103...介電體窗
104...處理室
105...氣體供給裝置
106...真空排氣口
107...導波管
108...空洞共振器
109...電磁波產生用電源
110...磁場產生線圈
111...晶圓載置電極
112...晶圓
113...匹配電路
114...高頻電源
115...濾波器
116...直流電源
117...冷媒用流路
118...調溫器
119、122...加熱器
120...加熱器控制器
121...溫度感測器
123...發光分光器
124...發光資料處理裝置
圖1表示本發明實施形態之電漿處理裝置之構成概略說明之縱斷面圖。
圖2表示於圖1之實施形態之電漿處理裝置中,設為處理對象之被處理構件、亦即半導體晶圓上面所配置之膜構造之模式之縱斷面圖。
圖3表示處理圖2(a)之膜構造時獲得之附著物之元素組成比之圖。
圖4表示圖2之膜構造之蝕刻終了後沈積於處理室內壁表面之僅沈積膜厚之變化特性。
圖5表示蝕刻處理圖2(b)之膜構造時,在處理室內部之壁面上塗布含有Si成份(Si系)之膜、含有C成份(C系)之膜、含有SiO成份(SiO系)之膜時,彼等各塗布膜之消耗量之圖。
圖6表示針對使用SiCl4 與O2 之混合氣體形成之塗布膜表面,藉由XPS測定之Si2p光譜之分布圖。
圖7表示藉由SEM(Scanning electron microscopy)測定塗布膜表面獲得之圖像。
圖8表示藉由SEM(Scanning electron microscopy)測定塗布膜表面獲得之圖像。
圖9表示變化進行後處理(after treatment)時間時由塗布膜表面游離之粒子數之變化分布。
圖10為本實施形態之電漿處理裝置之中被實施之半導體晶圓之處理流程。
圖11表示藉由習知技術進行溼洗淨後,針對上面具備如圖2(b)所示膜構造的晶圓進行處理時,多晶矽(Poly-Si)膜之蝕刻速率(rate)之變化圖。
圖12表示相對於處理繼續時間之變化,多晶矽(Poly-Si)膜之蝕刻速度與殘留於腔室內之Ti量之變化圖。
圖13表示於圖1所示電漿處理裝置,包含金屬潔淨步驟之處理流程。
圖14表示於圖1所示電漿處理裝置,包含金屬潔淨步驟之處理流程之另一例。
圖15表示相對於圖14之變形例中之塗布膜深度位置,金屬成份之濃度變化圖。
圖16表示對金屬膜事先進行蝕刻處理時與不進行處理時,金屬潔淨處理中之金屬成份引起之發光強度之時間變化圖。
100...電漿處理裝置
101...真空容器
102...噴氣板
103...介電體窗
104...處理室
105...氣體供給裝置
106...真空排氣口
107...導波管
108...空洞共振器
109...電磁波產生用電源
110...磁場產生線圈
111...晶圓載置電極
112...晶圓
113...匹配電路
114...高頻電源
115...濾波器
116...直流電源
117...冷媒用流路
118...調溫器
119、122...加熱器
120...加熱器控制器
121...溫度感測器
123...發光分光器
124...發光資料處理裝置

Claims (8)

  1. 一種電漿處理裝置,其特徵為:具備:處理室,被配置於真空容器內部;試料台,被配置於該處理室下部,其上面載置有處理對象晶圓;排氣裝置,用於對上述處理室內部進行排氣、減壓;及導入孔,被配置於上述試料台上方,用於對上述處理室導入處理用氣體;藉由使用上述處理用氣體所形成之電漿,對配置於上述晶圓上面的膜構造進行蝕刻處理者;上述膜構造係構成為在基板上具有阻劑膜、遮罩膜、多晶矽膜以及絕緣膜者;於將上述晶圓載置於上述試料台上而對配置於上述遮罩膜下方之多晶矽膜進行蝕刻之前,係於上述處理室內部形成電漿在該處理室內部之構件表面進行以含有Si成份之披膜予以披覆的工程。
  2. 如申請專利範圍第1項之電漿處理裝置,其中於披覆上述披膜之工程,係對上述處理室內部供給以Si或Si以及O或Si以及C之其中至少任一為含有成份的氣體。
  3. 如申請專利範圍第1或2項之電漿處理裝置,其中於披覆上述披膜之工程之後,為處理該披膜之表面而實施在上述處理室內部形成電漿之後處理工程之後,將上述晶圓配置於上述處理室內部而進行蝕刻處理。
  4. 如申請專利範圍第3項之電漿處理裝置,其中上述膜構造,係具有由配置於上述多晶矽膜與上述絕緣膜之間的金屬材料構成之金屬膜,實施由上述披膜除去上述金屬材料用之電漿之形成的潔淨(cleaning)處理。
  5. 一種電漿處理方法,其特徵為:使處理對象晶圓載置於試料台上面,該試料台係配置於被配置在真空容器內部而被減壓的處理室內之下部;由配置於上述試料台上方的導入孔對上述處理室導入處理用氣體而形成電漿,對配置於上述晶圓上面的膜構造進行蝕刻處理者;上述膜構造係構成為在基板上具有阻劑膜、遮罩膜、多晶矽膜以及絕緣膜者;於將上述晶圓載置於上述試料台上而對配置於上述遮罩膜下方之多晶矽膜進行蝕刻之前,係於上述處理室內部形成電漿在該處理室內部之構件表面進行以含有Si成份之披膜予以披覆。
  6. 如申請專利範圍第5項之電漿處理方法,其中於披覆上述披膜之工程,係對上述處理室內部供給以Si或Si以及O或Si以及C之其中至少任一為含有成份的氣體。
  7. 如申請專利範圍第5或6項之電漿處理方法,其中於披覆上述披膜之工程之後,為處理該披膜之表面而實施在上述處理室內部形成電漿之後處理工程之後,將上述晶圓配置於上述處理室內部而進行蝕刻處理。
  8. 如申請專利範圍第7項之電漿處理方法,其中上述膜構造,係具有由配置於上述多晶矽膜與上述絕緣膜之間的金屬材料構成之金屬膜,實施由上述披膜除去上述金屬材料用之電漿之形成的潔淨處理。
TW099125079A 2010-03-16 2010-07-29 Plasma processing device and plasma processing method TWI430361B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010058841A JP5450187B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW201133605A TW201133605A (en) 2011-10-01
TWI430361B true TWI430361B (zh) 2014-03-11

Family

ID=44646400

Family Applications (3)

Application Number Title Priority Date Filing Date
TW102148735A TWI559395B (zh) 2010-03-16 2010-07-29 Plasma processing device and plasma processing method
TW105122906A TWI593017B (zh) 2010-03-16 2010-07-29 Plasma processing apparatus and plasma processing method
TW099125079A TWI430361B (zh) 2010-03-16 2010-07-29 Plasma processing device and plasma processing method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW102148735A TWI559395B (zh) 2010-03-16 2010-07-29 Plasma processing device and plasma processing method
TW105122906A TWI593017B (zh) 2010-03-16 2010-07-29 Plasma processing apparatus and plasma processing method

Country Status (4)

Country Link
US (4) US8557709B2 (zh)
JP (1) JP5450187B2 (zh)
KR (1) KR101214505B1 (zh)
TW (3) TWI559395B (zh)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130084707A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5807511B2 (ja) * 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP5956933B2 (ja) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP5740447B2 (ja) * 2013-10-10 2015-06-24 株式会社東芝 半導体装置の製造方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
JP2015088696A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9412606B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Target dimension uniformity for semiconductor wafers
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105097485B (zh) * 2014-05-05 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 腔室环境调控方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6424049B2 (ja) * 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6275610B2 (ja) * 2014-09-26 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN107466420B (zh) * 2015-04-23 2019-10-25 应用材料公司 用于腔室清洁终点的原位蚀刻速率确定
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6486215B2 (ja) * 2015-06-17 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6568457B2 (ja) * 2015-11-11 2019-08-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6630649B2 (ja) 2016-09-16 2020-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6609535B2 (ja) 2016-09-21 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11355324B2 (en) * 2017-03-27 2022-06-07 Hitachi High-Tech Corporation Plasma processing method
CN106887381B (zh) * 2017-03-27 2019-11-22 上海华力微电子有限公司 一种刻蚀腔体环境稳定性的优化方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
CN115023794A (zh) 2020-02-10 2022-09-06 株式会社日立高新技术 等离子处理方法
US11961719B2 (en) 2020-06-25 2024-04-16 Hitachi High-Tech Corporation Vacuum processing method
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
WO2023238266A1 (ja) * 2022-06-08 2023-12-14 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098005A (ja) * 1995-06-22 1997-01-10 Mitsubishi Electric Corp 半導体処理装置
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
KR20010080572A (ko) * 1998-11-26 2001-08-22 가나이 쓰토무 드라이 에칭 장치 및 드라이 에칭 방법
JP2000164576A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置の製造方法
JP3709552B2 (ja) * 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3644013B2 (ja) 2000-03-01 2005-04-27 富士通株式会社 半導体装置の製造方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP2002359234A (ja) 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理方法
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
JP3612526B2 (ja) 2002-06-21 2005-01-19 株式会社日立ハイテクノロジーズ プラズマ処理装置のシーズニング方法
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7390710B2 (en) * 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008153365A (ja) * 2006-12-15 2008-07-03 Renesas Technology Corp 半導体装置の製造方法
JP2008244292A (ja) 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
JP2010050310A (ja) 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TWI593017B (zh) 2017-07-21
US20140053983A1 (en) 2014-02-27
US8557709B2 (en) 2013-10-15
US20110226734A1 (en) 2011-09-22
KR101214505B1 (ko) 2012-12-27
KR20110104415A (ko) 2011-09-22
US9496147B2 (en) 2016-11-15
JP2011192872A (ja) 2011-09-29
TW201639030A (zh) 2016-11-01
TWI559395B (zh) 2016-11-21
US9960031B2 (en) 2018-05-01
TW201432813A (zh) 2014-08-16
JP5450187B2 (ja) 2014-03-26
US20170032955A1 (en) 2017-02-02
TW201133605A (en) 2011-10-01
US20150024599A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
TWI430361B (zh) Plasma processing device and plasma processing method
TWI442468B (zh) Plasma processing device and plasma processing method
JP6630649B2 (ja) プラズマ処理方法
US8298957B2 (en) Plasma etchimg method and plasma etching apparatus
JP5750496B2 (ja) プラズマ処理方法
US20100178415A1 (en) Method for seasoning plasma processing apparatus, and method for determining end point of seasoning
JP5853087B2 (ja) プラズマ処理方法
US11251052B2 (en) Plasma processing method and plasma processing apparatus
US9147556B2 (en) Plasma processing method and plasma processing apparatus
TWI650813B (zh) 電漿處理方法
JP6169666B2 (ja) プラズマ処理方法
JP2011530170A (ja) チャンバのプラズマ洗浄プロセス方法
US20070010096A1 (en) Method for fabricating semiconductor device
US5868853A (en) Integrated film etching/chamber cleaning process
JP2006100705A (ja) 半導体製造装置のクリーニング方法
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP2009260091A (ja) プラズマ処理装置のシーズニング方法
JP2001217225A (ja) プラズマエッチング方法