KR101214505B1 - 플라즈마처리장치 및 플라즈마처리방법 - Google Patents

플라즈마처리장치 및 플라즈마처리방법 Download PDF

Info

Publication number
KR101214505B1
KR101214505B1 KR1020100072771A KR20100072771A KR101214505B1 KR 101214505 B1 KR101214505 B1 KR 101214505B1 KR 1020100072771 A KR1020100072771 A KR 1020100072771A KR 20100072771 A KR20100072771 A KR 20100072771A KR 101214505 B1 KR101214505 B1 KR 101214505B1
Authority
KR
South Korea
Prior art keywords
film
wafer
plasma
processing chamber
processing
Prior art date
Application number
KR1020100072771A
Other languages
English (en)
Other versions
KR20110104415A (ko
Inventor
마사히로 스미야
모토히로 다나카
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20110104415A publication Critical patent/KR20110104415A/ko
Application granted granted Critical
Publication of KR101214505B1 publication Critical patent/KR101214505B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 처리의 결과 얻어지는 형상의 변동이 적은 플라즈마처리장치 또는 플라즈마처리방법을 제공하는 것이다.
진공용기 내부에 배치된 처리실과, 이 처리실의 하부에 배치되어 그 상면에 처리대상인 웨이퍼가 탑재되는 시료대와, 상기 처리실 내부를 배기하여 감압하는 배기장치와, 상기 시료대의 위쪽에 배치되어 상기 처리실로 처리용 가스를 도입하는 도입 구멍을 구비하고, 상기 웨이퍼의 상면에 배치된 막 구조를 상기 처리용 가스를 사용하여 형성한 플라즈마에 의해 에칭 처리하는 플라즈마처리장치로서, 상기막 구조가 기판 상에 레지스트막과 마스크막과 폴리실리콘막과 절연막을 가지고 구성된 것으로, 상기 웨이퍼를 상기 시료대 위에 탑재하여 상기 마스크막의 아래쪽에 배치된 폴리실리콘막을 에칭하기 전에 상기 처리실 내에 플라즈마를 형성하여 이 처리실 내부의 부재의 표면에 Si를 성분으로서 포함하는 피막을 피복하는 공정을 행한다.

Description

플라즈마처리장치 및 플라즈마처리방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, 플라즈마처리장치에 관한 것으로, 특히 플라즈마를 사용하여 반도체 기판 등의 표면처리를 행하는데 적합한 플라즈마처리방법에 관한 것이다.
최근의 반도체 소자는 미세화에 의하여, 리소그래피에 의해 형성된 마스크를 하층막에 전사하는 에칭공정에는 더욱 높은 정밀도의 치수 정밀도, 즉 CD (Critical Dimension) 정밀도가 요구되고 있다. 양산현장에서 높은 CD 제어성에 더하여, CD의 재현성을 확보하는 것이 중요한 과제이다. 일반적으로 에칭공정에서 CD가 변동하는 요인으로서는 에칭 챔버 내벽에 피처리재로부터 발생한 반응생성물이 부착되는, 챔버 내부재가 장기적인 사용에 의해 소모되는, 챔버 내부재의 온도 등이 변동하고, 챔버 내벽 등에 대한 라디칼의 부착 확률이 변화하고, 에칭 성능에 영향을 미치는 플라즈마 상태가 변동하는 등의 요인을 들 수 있다.
다음에 미세 트랜지스터에서는, 단채널 효과를 제어하는 데에 있어서, 게이트 절연막의 용량을 증가시킬 필요가 있고, 종래 게이트 산화막의 두께를 저감함으로써, 본 과제를 달성하여 왔다. 그러나 게이트 산화막의 박막화에 의하여 리크 전류가 증가하기 때문에, 게이트 절연막으로서 더욱 높은 유전율을 가지는 재료(High-k)가 도입되었다. 산화막을 치환하는 High-k재로서, 하프늄산화막(HfO2)을 들 수 있다. 그러나 종래의 폴리실리콘(Poly- Si)전극과 HfO2 사이에서는 재료의 부적합이 존재하기 때문에, 적정한 일함수를 가지는 금속(메탈)막을 가지는 구조가 필요하다. 메탈재료로서는 여러가지 들 수 있으나, Semiconductor International 2008/1호(비특허문헌 1)기재와 같이 TiN, La 등이 사용되고 있다.
종래, 플라즈마처리장치는 웨이퍼마다, 또는, 로트마다 플라즈마를 사용한 클리닝이 실시되고, 카본(C), 산화막, Poly-Si, 질화막 등을 대상으로 한 프로세스에는, 주로 불소(F), 염소(Cl), 산소(O) 중 어느 하나를 포함하는 또는 혼합 플라즈마에서의 클리닝이 사용되어 왔다. 또한, 에칭 챔버 내벽 부재가 소모됨으로써 발생하는 금속 등(예를 들면 Al) 등이 챔버 내에 부착 등을 하는 경우는, 플라즈마에서의 클리닝만으로 제거하는 것은 곤란하기 때문에, 미리 시즈닝 등을 사용하여, 챔버 내 분위기를 일정하게 유지하는 등의 기술이 검토되고 있다. 이와 같은 기술의 예로서는 일본국 특개2004-031380호 공보(특허문헌 1)에 기재된 것이 알려져 있다.
또한, 미국 특허7,204,913호 공보(특허문헌 2)에 기재된 기술과 같이, 웨이퍼처리마다 리액터 내벽에 코팅을 실시하고, 리액터 내벽 상태 변화에 따르는 프로세스 성능에 대한 영향을 저감하는 기술이 알려져 있다.
한편, 메탈재료(예를 들면 TiN)를 에칭하면, Jounal of Vacuum Science and Technoloby B24, 2191(2006)(비특허문헌 2)에 기재된 바와 같이, 메탈재가 챔버 내벽에 부착되어 상기 가스계로 완전한 클리닝이 곤란한 경우가 있는 것이 알려져 있다.
[특허문헌 1]
일본국 특개2004-031380호 공보
[특허문헌 2]
미국 특허7,204,913호 공보
[비특허문헌 1]
Semiconductor International 2008/1호
[비특허문헌 2]
Journal of Vacuum Science and Technology B24, 2191(2006)
그러나, 상기 종래 기술에서는 다음과 같은 문제점에 대하여 충분히 고려가 되어 있지 않았다.
즉, 특허문헌 1에서는, 코팅한 막 자체로부터 이물의 원인이 되는 물질, 입자가 유리(遊離)하여 이물 발생의 염려가 있어, 막을 코팅하는 조건의 최적화가 필요하게된다. 그러나, 이와 같은 조건에 대하여 본 종래 기술에서는 고려되어 있지 않았다.
또한, 반도체 집적회로의 집적도가 높아짐에 따라, 장기적인 CD 변동의 억제가 요구되고 있으나, 비특허문헌 2에 기재되어 있는 바와 같이 금속재료의 막을 처리(일반적으로 메탈처리라 불리운다)하는 경우에는, 그 재료 또는 그 화합물이 처리실내 벽면에 부착하여 잔류되면, 그 후의 처리실 내의 처리에서 그 잔류한 메탈재료에 의해 프로세스 성능이 변동될 염려가 있었다. 이와 같은 프로세스 성능의 변동은, 반도체 웨이퍼 등의 처리대상의 막의 처리 결과를 변동시키게 되고, 처리할 매수가 많아짐에 따라 처리한 결과로서 얻어지는 형상, 이른바 CD(Critical Dimension ; 크리티컬 디멘전)가 변동된다.
이와 같은 문제를 해결하려고 하여, 웨이퍼의 처리마다 잔류물을 제거하기 위한 클리닝처리 또는, 프로세스 성능의 변동을 억제하는 처리(예를 들면, 시즈닝 처리) 등을 행하는 것도 생각할 수 있다. 그러나, 실제의 양산현장에서는, 이와 같은 특정한 처리를 행함으로써, 소정 수의 로트를 처리하는 경우의 전체적인 스루풋이 저하된다는 문제가 생기고 있었다. 이와 같은 점에 대하여 상기 종래 기술에서는 고려되어 있지 않았다.
본 발명의 목적은, 처리의 결과 얻어지는 형상의 변동이 적은 플라즈마처리장치 또는 플라즈마처리방법을 제공하는 것에 있다. 또한, 처리의 효율을 향상하여 스루풋을 높인 처리장치 또는 플라즈마처리방법을 제공하는 것에 있다.
상기 목적은, 진공용기 내부에 배치된 처리실과, 이 처리실의 하부에 배치되어 그 상면에 처리대상인 웨이퍼가 탑재되는 시료대와, 상기 처리실 내부를 배기하여 감압하는 배기장치와, 상기 시료대의 위쪽에 배치되어 상기 처리실에 처리용 가스를 도입하는 도입 구멍과, 상기 처리실 내에 공급된 상기 처리용 가스를 이용하여 플라즈마를 형성하기 위한 전계를 공급하는 수단을 구비하고, 상기 웨이퍼의 상면에 배치된 막 구조를 상기 처리용 가스를 사용하여 형성한 플라즈마를 사용하여 에칭 처리하는 플라즈마처리장치에 있어서, 상기 웨이퍼를 상기 시료대 위에 탑재하여 상기 막 구조를 에칭 처리하기 전에 상기 도입 구멍으로부터 Si를 포함하는 가스를 상기 처리실 내에 공급하여 상기 전계를 공급하는 수단으로부터의 전계에 의하여 상기 처리실 내에 플라즈마를 형성하여 이 처리실 내부의 부재의 표면에 Si를 성분으로서 포함하는 피막을 피복하는 공정과, 상기 피막을 형성하는 공정 다음에 이 피막의 표면을 처리하기 위하여 상기 처리실 내에 플라즈마를 형성하는 후처리 공정을 실시하고, 이 후처리 공정 다음에 상기 웨이퍼를 상기 처리실 내의 상기 시료대 위에 배치하여 에칭 처리하는 플라즈마처리장치에 의해 달성된다.
또한, 상기 막 구조가 폴리실리콘막과 절연막의 사이에 배치된 금속재료로 구성된 금속막을 갖고, 상기 피막으로부터 상기 금속재료를 제거하기 위한 클리닝 처리를 상기 에칭 처리의 종료 후이며 다음의 에칭 처리의 개시 전에 실시함으로써 달성된다.
또한, 상기 클리닝 처리를, 상기 에칭 처리의 종료 후이며 상기 웨이퍼를 상기 처리실로부터 반출하여 다음 웨이퍼를 상기 시료대에 올려 놓은 후에 실시함으로써 달성된다.
상기 목적은, 진공용기 내부에 배치되어 감압된 처리실 내의 하부에 배치된 시료대의 상면에 처리대상인 웨이퍼를 탑재하고, 상기 시료대의 위쪽에 배치된 도입 구멍으로부터 상기 처리실에 처리용 가스를 도입하여 플라즈마를 형성하여 상기 웨이퍼의 상면에 배치된 막 구조를 에칭하는 플라즈마처리방법에 있어서, 상기 웨이퍼를 상기 시료대 위에 탑재하여 상기 막 구조를 에칭 처리하기 전에 상기 처리실 내에 플라즈마를 형성하여 이 처리실 내부의 부재의 표면에 Si를 성분으로서 포함하는 피막을 피복하는 공정과, 상기 피막을 형성하는 공정 다음에 이 피막의 표면을 처리하기 위하여 상기 처리실 내에 플라즈마를 형성하는 후처리 공정을 실시하고, 이 후처리 공정 다음에 상기 웨이퍼를 상기 처리실 내의 상기 시료대 위에 배치하여 에칭 처리하는 플라즈마처리방법에 의해 달성된다.
또한, 상기 막 구조가 폴리실리콘막과 절연막의 사이에 배치된 금속재료로 구성된 금속막을 갖고, 상기 피막으로부터 상기 금속재료를 제거하기 위한 클리닝 처리를 상기 에칭 처리의 종료 후이며 다음의 에칭 처리의 개시 전에 실시함으로써 달성된다.
또한, 상기 클리닝 처리를, 상기 에칭 처리의 종료 후이며 상기 웨이퍼를 상기 처리실로부터 반출하여 다음 웨이퍼를 상기 시료대에 올려 놓은 후에 실시함으로써 달성된다.
도 1은 본 발명의 실시형태에 관한 플라즈마처리장치의 구성의 개략을 설명하는 종단면도,
도 2는 도 1에 나타내는 실시예에 관한 플라즈마처리장치에서, 처리의 대상이 되는 피처리재인 반도체 웨이퍼 상면에 배치된 막의 구조를 모식적으로 나타내는 종단면도,
도 3은 도 2(a)의 막 구조를 처리하였을 때에 얻어진 부착물의 원소의 조성비를 나타내는 그래프,
도 4는 도 2에 나타내는 막 구조의 에칭 종료 후의 처리실 내벽 표면에 퇴적하는 데포지션 막 두께의 변화를 나타내는 특성,
도 5는 도 2(b)에 나타내는 막 구조를 에칭처리하였을 때의 Si를 성분으로서 포함하는(Si계) 막, 탄소를 성분으로서 포함하는(C계) 막, Si0를 성분으로서 포함하는(SiO계) 막을 처리실 내부의 벽면 상에 코팅한 경우, 이들 각 코팅막의 소모량을 나타내는 그래프,
도 6은 SiCl4와 O2의 혼합가스를 사용하여 형성한 코팅막의 표면을 XPS에 의해 측정한 Si2p 스펙트럼을 나타내는 그래프,
도 7은 SEM(Scanning electron microscopy)에 의해 코팅막 표면을 측정하여 얻어진 상을 나타내는 도,
도 8은 SEM(Scanning electron microscopy)에 의해 코팅막 표면을 측정하여 얻어진 상을 나타내는 도,
도 9는 이 후처리(애프터 트리트먼트)를 행하는 시간을 변화시킨 경우의 코팅막의 표면으로부터 유리하는 입자 수의 변화를 나타내는 그래프,
도 10은 본 실시예에 관한 플라즈마처리장치에서 실시되는 반도체 웨이퍼의 처리의 흐름을 나타내는 플로우차트,
도 11은 종래의 기술에 의해 웨트 세정 후에 도 2(b)에 나타내는 막 구조를 상면에 구비한 웨이퍼를 처리하였을 때의 폴리실리콘(Poly-Si)막의 에칭속도(레이트)의 변화를 나타내는 그래프,
도 12는 처리를 계속하는 시간의 변화에 대한 폴리실리콘(Poly-Si)막의 에칭 레이트와 챔버 내에 잔류하는 Ti량의 변화를 나타내는 그래프,
도 13은 도 1에 나타내는 플라즈마처리장치에서 메탈 클리닝 단계를 포함하는 처리의 흐름을 나타내는 플로우차트,
도 14는 도 1에 나타내는 플라즈마처리장치에서 메탈 클리닝 단계를 포함하는 처리의 흐름의 다른 예를 나타내는 플로우차트,
도 15는 도 14에 나타내는 변형예에서의 코팅막의 깊이 위치에 대한 메탈성분의 농도의 변화를 나타내는 그래프,
도 16은 메탈막을 미리 에칭처리한 경우와 처리하지 않은 경우의, 메탈 클리닝처리에서의 메탈성분에 기인하는 발광강도의 시간변화를 나타내는 그래프이다.
이하, 본 발명의 실시형태를 도면을 참조하여 이하에 설명한다.
[실시예 1]
본 발명의 실시예를 도 1 내지 도 10을 이용하여 설명한다.
도 1은, 본 발명의 실시형태에 관한 플라즈마처리장치의 구성의 개략을 설명하는 종단면도이다. 특히, 본 실시예에서는, 마이크로파 ECR(Electron Cyclotron Resonance)을 사용한 에칭장치를 설명하고 있다.
본 도면에서, 실시예에 관한 플라즈마처리장치는, 상부가 개방된 진공용기(101)의 상부에, 진공용기(101) 내로 에칭가스를 도입하기 위한 복수의 도입 구멍이 그 중앙부분을 중심으로 균등하게 배치된 원판 형상을 가지는 샤워 플레이트(102)(예를 들면 석영제 또는 산화이트륨제), 유전체창(103)(예를 들면 석영제)이 설치되어 있고, 진공용기(101) 내의 대략 원통 형상을 가지는 처리실(104) 내부가 유전체창(103)에 의해 밀봉된다. 샤워 플레이트(102)에는 에칭가스를 흘리기 위한 가스공급장치(105)가 연결되고, 샤워 플레이트(102) 위쪽의 유전체창(103)과의 사이의 공간이 가스공급장치(105)로부터 관로, 통로를 거쳐 접속되고, 이 공간을 거쳐 도입 구멍을 통하여 처리실(104) 내부로 처리용 가스가 공급된다.
또, 진공용기(101)의 아래쪽에는 그 내부의 처리실(104)의 대략 원통형상의 공간 바닥부에 배치되어 진공 배기구(106)를 거쳐 진공 배기장치(도시생략)가 연결되어 연통되어 있다. 한편, 플라즈마를 생성하기 위한 전력을 처리실(104)로 전송하기 위하여, 유전체창(103)의 위쪽에는 전자파를 방사하는 도파관(107)(또는 안테나)이 설치된다.
도파관(107)(또는 안테나)으로 전송되는 전자파는, 전자파 발생용 전원(109)에 의해 발진되어 당해 도파관(107) 내부에 공급된다. 본 실시예에서, 전자파의 주파수는 특별히 한정되지 않으나, 본 실시예에서는 2.45 GHz의 마이크로파를 사용한다.
처리실(104) 바깥 둘레측의 진공용기(101) 외부에는, 자장을 형성하는 자장발생 코일(110)이 이것을 둘러싸서 배치되어 있고, 전자파 발생용 전원(109)으로부터 발진되어 도파관(107) 및 유전체창(103), 샤워 플레이트(102)를 거쳐 처리실(104) 내로 도입된 전계(電界)는, 자장발생 코일(110)에 의해 형성된 자장과의 상호작용을 일으켜, 처리실(104) 내로 공급된 처리용 가스를 해리시켜 처리실(104) 내에 플라즈마를 생성한다. 또한, 원판형상의 샤워 플레이트(102)에 대향하여 처리실(104) 내의 하부에는, 그 원 형상의 상면에 처리대상인 원판 형상의 반도체제의 웨이퍼(112)가 탑재되는 시료대인 웨이퍼 탑재 전극(111)이 배치되어 있다.
당해 웨이퍼 탑재 전극(111)은 대략 원통 형상을 구비하여, 그 전극 상면이 산화알루미늄이나 산화이트륨 등의 세라믹스 재료가 용사(溶射)에 의하여 내뿜어져 막이 형성된 용사막(도시 생략)에 의해 피복되어 있다. 또한, 용사막의 내부에는 금속부재로 이루어지는 막 형상의 전극이 배치되어 있고(도시 생략), 이 전극이 고주파 필터(115)를 거쳐 직류전원(116)과 전기적으로 접속되어 있다.
또한, 웨이퍼 탑재 전극(111)의 내부에 배치된 금속제의 블록에는, 매칭회로(113)를 거쳐 고주파 전원(114)이 접속되어 있고, 이 블록이 고주파 전극으로서의 작용을 가지는 것으로 되어 있다. 또한, 웨이퍼 탑재 전극(111) 내부의 상기 전극의 블록 내에는, 동심원 형상 또는 나선 형상으로 배치되어 내부를 온도조절을 위한 매체가 통류하는 냉매용 유로(117)가 배치되어 있고, 냉매용 유로(117)는 웨이퍼 탑재 전극(111)의 외부에 배치된 관로를 거쳐 온도조절기(118)와 연결되어 있다.
또, 전극의 블록 내의 상부에는 히터(119)가 배치되고, 이것이 히터 제어기(120)와 접속되어 있다. 또한, 웨이퍼 탑재 전극(111)에는 온도 센서(121)가 배치되고, 온도 센서(121)로부터 출력된 신호에 의거하여 웨이퍼 탑재 전극(111) 및 웨이퍼(112)의 온도를 원하는 온도로 하도록, 히터 제어기(120) 및 냉매의 온도를 제어하는 온도 조절기(118)의 동작이 조절된다.
웨이퍼(112)는, 도시 생략한 로봇 아암 등의 반송장치에 의해 처리실(104) 내부로 반송되어 웨이퍼 탑재 전극(111)의 상면에 탑재된 후, 직류전원(116)으로부터 인가되는 직류전압의 정전기력으로 웨이퍼 탑재 전극(111) 상의 용사막 상에 흡착된다. 이때, 웨이퍼(112)의 이면과 용사막 사이의 공간에는 열전달성을 가지는 가스가 공급되어 웨이퍼(112)와 웨이퍼 탑재 전극(111) 사이의 열의 전달이 촉진됨으로써 웨이퍼(112)의 온도가 조절된다.
이 상태에서 가스 공급장치(105)에 의하여 원하는 처리용 가스, 본 예의 경우에서는 에칭가스가 공급된 후, 처리실(104) 내를 소정의 압력으로 하면서 내부에 플라즈마를 발생시킨다. 다음에, 웨이퍼 탑재 전극(111)에 접속된 고주파 전원(114)으로부터 고주파 전력을 인가함으로써, 용사막 위쪽에 바이어스 전위가 형성되어 플라즈마로부터 웨이퍼로 이온이 인입되어 웨이퍼(112)가 에칭 처리된다.
또한, 처리실(104) 내벽을 구성하는 진공용기(101)의 측벽부에는, 상기 플라즈마 처리 중의 발광을 검출하는 발광 분광기(123)가 접속되고, 이 발광 분광기(123)로부터 얻어진 출력은 이것에 접속된 발광 데이터 처리장치(124)에 발신되고, 발광데이터 처리장치(124) 내의 연산기를 사용하여 발광 데이터의 수치적인 해석, 검출이 행하여진다.
도 2는, 본 실시예의 플라즈마처리장치에서, 처리의 대상이 되는 피처리재인 반도체 웨이퍼 상면에 배치된 막의 구조를 모식적으로 나타내는 종단면도이다. 피처리재인 막의 구조는, 도 2(a)에 나타내는 바와 같이 바탕인 기판(207) 상에 위로부터 레지스트(Photo Resist, PR)(마스크)(201) 또는 하드 마스크(카본, 또는 SiO2, SiN 또는 SiON 등의 재료를 사용하는 또는 이들을 주재료로 하는 막)(202), 폴리실리콘(Poly-Si)막(203), 절연막층으로서의 산화막(204)을 구비한 것으로 되어 있다. 또는 도 2(b)에 나타내는 바와 같이, 기판(207) 상에 위로부터 레지스트(Photo Resist, PR)(마스크)(201) 또는 하드 마스크(카본, 또는 SiO2, SiN 또는 SiON 등의 재료를 사용하는 또는 이들을 주재료로 하는 막)(202), 폴리실리콘(Poly-Si)막(203), 메탈(금속, 예를 들면 TiN)막(205), High-k 재료(예를 들면 HfO2)에 의한 막(High-K막)(206)을 구비하고 있다.
이들 메탈 재료의 종류 및 적층수, 두께는 디바이스 및 NMOS 부분, PMOS 부분에서 다른 것이다. 이들 막 구조는, 반도체 디바이스의 회로, 특히 게이트나 배선의 구조를 형성하기 위하여 에칭 처리되어 소정의 형상으로 가공되도록 요구되고 있다.
본 실시예에서, 각각의 막은, 다른 에칭 레시피에 의하여 처리된다. 또한, 마스크(mask)부분은 유기재료로 구성된 종래부터 사용되는 레지스트막(201)만으로는, 마스크로서 최근의 미세 가공에 대응한 막 두께나 에칭의 내성이 불충분하기 때문에, 레지스트막(201)의 하층에 아몰퍼스카본(ACL), SiN 또는 SiON 및 SiO2 등의 재료로 구성된 하드 마스크(201) 또는 그것들이 혼재한 적층구조를 가지고 있다. 이러한 하드 마스크(201)에 의해 하층의 Poly-Si나 메탈재료의 에칭을 행할 수 있다. 여기서는 마스크(201)의 에칭 공정에 대한 설명은 생략한다.
본 실시예에서는, 폴리실리콘막(203)의 에칭에는, 염소(Cl)를 성분으로서 포함하는 가스(염소계 가스, 예를 들면 Cl2)와 불소(F)를 성분으로서 포함하는 가스(불소계 가스, 예를 들면 CF4)의 혼합가스 또는 Cl2와 HBr의 혼합가스 등이 그 성분의 적어도 일부로서 사용된다. 또, 필요에 따라 O2 등의 가스도 사용된다.
다음에, 도 2(b)에 나타내는 막 구조의 메탈(예를 들면 TiN)막(205)의 에칭 공정에서는, 최초로 메탈층 계면에 형성된 자연산화막 등을 제거하기 위하여, 비교적 높은 웨이퍼 바이어스 출력을 증가시켜 고이온 에너지를 가지는 이온을 입사시키고, 주로 스퍼터 효과에 의해 자연산화막의 제거를 행한다. 이 때에 사용되는 처리용 가스는, HBr이나 Ar 등을 포함하는 것이 사용되나, 다른 가스계이어도 된다.
자연산화막이 제거된 후, 메탈막(205)의 에칭에는 주로 Cl2 또는 Cl2와 HBr의 혼합가스가 처리용 가스로서 사용된다. 본 실시예의 메탈막(205)은 박막이기 때문에 비교적 낮은 이온 에너지가 되도록 웨이퍼 바이어스 출력을 조정하여 에칭이 행하여진다.
다음에, BCl3 또는 BCl3와 Cl2의 혼합가스가 처리용 가스로서 사용되어 고유전율의 재료에 의해 구성된 High-K(예를 들면 HfO2)막(206)의 에칭이 행하여진다. 본 단계에서는, 저이온 에너지 조건을 사용함으로써, 양호한 에칭특성(형상, 선택비) 등이 달성된다.
다음에, 본 발명의 제 1 실시예에 관한 처리대상 웨이퍼의 처리의 상세에 대하여 도 3 내지 도 10을 이용하여 설명한다. 여기서는 도 2(a)에 나타내는 막 구조를 에칭하는 공정에 대하여 설명하나, 도 2(b)에 나타내는 막 구조에 대해서도 동일한 효과가 있다.
본 실시예에서는, 도 2(a)에 나타내는 막구조의 에칭에서는, 마스크(201)로서, 예를 들면 SiO2, SiN 등의 재료를 사용한 경우, 에칭에는 CxHyFz(x, y, z = 0, 1, 2, …)를 성분으로서 포함하는 가스 또는 이와 같은 가스와 희석용 가스 및 산소 등의 가스가 사용된다. 폴리실리콘막(203)에 대해서는, 상술한 바와 같이 염소(Cl)계 가스(예를 들면 Cl2)와 불소(F)계 가스(예를 들면 CF4)의 혼합가스 또는 Cl2와 HBr의 혼합가스 등이 사용된다. 또한, 필요에 따라 O2 등의 가스도 사용된다.
발명자들은, 이들 에칭의 각 공정을 행한 후에, 처리실(104)의 내벽면에 퇴적한 부착물을 측정하기 위하여, 당해 내벽을 모의(模擬)한 샘플을 처리실(104) 내에 설치하고, 그 샘플 상에 퇴적한 부착물을 XPS(X-ray photoelectron spectroscopy)에 의해 측정하였다. 본 실험에서 사용한 처리실(104)의 내벽은 석영이나, 특히 폴리실리콘막(203)의 에칭의 공정에서 발생하는 반응생성물과 유사한 조성이기 때문에, 반응생성물과 내벽을 구성하는 부재의 재료를 식별하기 위하여, 상기 샘플로서 Al2O3를 사용하였다.
실험에서는, 마스크(202)의 에칭 단계에 CHF3/SF6을, 폴리실리콘막(203)의 에칭 단계로서 Poly-BT(Break-Through) 단계에 Cl2/CF4/Ar을, Poly-EndPoint 및 Poly-OE(0ver-Etching) 단계에는 Cl2/HBr/O2를 포함하는 가스를 처리용 가스로서 사용하였다.
각 단계의 처리 후에 샘플 상에서 검출된 원소의 조성비를 도 3에 나타낸다. 도 3은, 도 2(a)의 막 구조를 처리하였을 때에 얻어진 부착물의 원소의 조성비를 나타내는 그래프이다.
본 도면에 나타내는 바와 같이, 처리실(104)의 내벽에 형성되는 부착물의 주성분은 마스크(202)~Poly-BT 단계에서는 C, F이고, C1s 스펙트럼을 보면(도시 생략) C-C 결합보다 고에너지측에 피크가 보이기 때문에, CFx(x = 1, 2, 3)가 주된 조성이라고 생각된다. 또한, Poly-EndPoint~Poly-0E 단계에서는 Si, 0가 데포지션의 주성분이고, Si2p 스펙트럼을 보면 103 eV 부근에 피크를 가지기 때문에 SiOx 막이 형성되어 있다고 추정할 수 있다.
또한, 본 실험에서, 샘플 표면(Al2O3)의 Al로부터 얻어지는 전자강도를 측정하여, 부착물의 막의 CFx 및 SiOx의 밀도를 소정의 값으로 가정함으로써, 각 단계에서의 부착물의 막두께를 구할 수 있다. 여기서, SiOx는 SiO2로서, CFx는 FTFE(Poly-tetra-fluoro-ethylene)와 유사하다고 가정하고 밀도를 2.2 g/㎤이라 한 경우의 막두께를 도 4에 나타낸다. 마스크(201)~Poly-BT 단계에서는 CFx막이, Poly-EndPoint~Poly-0E 단계에서는 Si0x 막이 형성되나, 에칭 초기와 CFx 막으로부터 Si0x막의 변환 단계(Poly-BT와 Poly-EndPoint)의 단계에서 일단 벽에 형성되는 부착물의 막이 소실되는 것을 알 수 있다. 즉, 이 기간은 처리실(104)의 벽 표면이 노출하여, 처리실(104) 내벽 상태가 경시적으로 변화한 경우에는 그 영향을 받기 쉬운 기간이라고 할 수 있다.
이와 같은 처리실(104) 내벽의 상태의 변화에 의해, 웨이퍼(112)의 처리의 특성이나 결과가 영향을 받아 변동되는 것을 억제하기 위하여, 웨이퍼(112)의 처리 전에, 처리실(104)의 플라즈마에 면하는 내벽의 표면을 소정의 재료의 피막으로 피복(코팅)하는 것을 생각할 수 있다. 상기한 바와 같은 구조의 막에 에칭을 행하는 경우에는, 막 종류에 따라 사용하는 에칭 가스계가 다르고, 경우에 따라서는 상기한 예와 같이 처리실(104) 내벽의 부착물의 막이 소실되어, 내벽이 노출될 염려가 있기 때문에, 처리실(104) 내부의 표면을 피복하는 피막(이하, 코팅막)은, 상기 막 구조의 복수막을 에칭하는 경우 그것이 대상으로 하는 막의 처리의 종료까지 처리실(104) 내부의 표면에 잔존하고 있는, 적어도 상기 막의 변환 단계 시에 잔존하고 있는 것이 바람직하다.
이 때문에, 본 실시예에서는, 에칭처리 중에 소모되는 막 두께 이상의 막 두께를 미리 퇴적시키고 있다. 또한, 상기 막 구조의 에칭처리에서는, 불소(F)계, 염소(Cl)계 가스를 처리용 가스로서 공급하여 형성된 플라즈마가 사용되기 때문에, 코팅막은 이와 같은 플라즈마에 대한 플라즈마 내성이 높은 것이 필요하다.
도 5는, 도 2(b)에 나타내는 막 구조를 에칭 처리하였을 때의 Si를 성분으로서 포함하는(Si계) 막, 탄소를 성분으로서 포함하는(C계) 막, SiO를 성분으로서 포함하는(Si0계) 막을 처리실(104) 내부의 벽면 상에 코팅한 경우, 이들 각 코팅막의 소모량을 나타내는 그래프이다. 본 도면에서, 탄소(C)를 주체로 하는 코팅막은 산소를 사용하는 단계(예를 들면 in-situ Ash 단계) 등에서의 소모량이 크고, 이 때문에 플라즈마 내성이 낮다고 판단된다. 한편, Si 또는 Si0계의 코팅막은 상대적으로 소모량이 현저하고 작게 억제되어 있고, 플라즈마 내성이 높다고 생각되기 때문에, 발명자들은 Si 또는 Si0계의 막은 코팅막으로서 적합하다고 판단하였다.
특히, Si를 함유하는 코팅막에서는, Si와 산소(0)를 함유하는 막, 및 Si와 탄소(C)를 함유하는 막의 플라즈마 내성이 양호하다. 이와 같은 코팅막을 처리실(104) 내의 부재의 표면에 부착시키기 위하여 플라즈마를 형성하는 처리용 가스의 가스종으로서, 예를 들면, SiCl4와 O2의 혼합가스, 또는 SiCl4와 메탄(CH4)의 혼합가스가 적합하다. Ar 등의 희석용 가스를 첨가하여도 동일한 코팅막을 형성하는 것이 가능하다.
도 6은, SiCl4와 O2의 혼합가스를 사용하여 형성한 코팅막의 표면을 XPS에 의해 측정한 Si2p 스펙트럼을 나타내는 그래프이다. 본 도면에서, 석영의 표면을 분석한 결과도 아울러 나타낸다. 코팅막 및 석영의 양자에 있어서, 대략 동일한 결합 에너지 103 eV 부근에 피크를 가지고 있기 때문에, 산화막(SiO2)이 형성되어 있는 것을 알 수 있다.
또한, 도 7은, SEM(Scanning electron microscopy)에 의해 코팅막 표면을 측정하여 얻어진 상을 나타내는 도면이다. 발명자들은, 코팅막을 형성하는 조건을 변화시켜 얻어진 코팅막의 표면을 비교하여 검토한 결과, O2/SiCl4 유량비를 0.5보다 작게 하면, 코팅막의 표면에 거칠음이 발생한다는 식견을 얻었다. 이와 같은 코팅막 표면의 거칠음이 존재하면, 코팅막의 불균일에 의한 리액터 내벽의 피복율의 불균일이나, 거칠음을 기점으로 한 크랙의 발생에 의한 이물 발생 등의 문제가 생길 염려가 있다. 이 때문에 본 실시예에서는, 코팅막을 형성하기 위하여 처리실(104) 내로 도입되는 처리용 가스에서 O2와 SiCl4의 유량비를 0.5 이상으로 하고 있다.
웨이퍼 탑재 전극(111) 상면의 웨이퍼(112) 탑재면은 용사막으로 덮여져 있으나, 코팅막을 형성하는 플라즈마의 처리 중에는, 웨이퍼(112)가 탑재되어 있지 않은 경우에는 탑재면도 마찬가지로 코팅막이 형성된다. 이 때문에, 웨이퍼(112)가 웨이퍼 탑재 전극(111) 상에 탑재되어 처리대상인 막 구조의 소정의 막이 에칭처리되고 있는 동안 코팅막은 ESC막과 웨이퍼 사이에 존재한다.
발명자들의 검토에 의하면, 이때, 용사막 위에 형성된 직후의 코팅막의 표면에는, 도 8(a)에 나타내는 바와 같이, 미소한 볼록부에 의한 요철이나 표면 거칠음의 분포의 불균일이 존재한다. 예를 들면, 이와 같은 볼록부는 Si를 포함하는 재료에 의한 돌기라는 식견이 얻어져 있다. 그리고, 이와 같은 요철이나 거칠음 때문에 용사막 내에 배치된 정전흡착용 박막의 전극에 전압을 인가하여 웨이퍼와 용사막 사이에서 코팅막에 힘이 인가되면 상기 미소한 거칠음을 기점으로 코팅막에 크랙이 발생되고, 웨이퍼의 처리 매수의 증대에 수반하여 크랙으로부터 용사피막을 구성하는 재료가 파편이 되어 유리되고, 이것이 다시 웨이퍼(112)(다른 웨이퍼도 포함하여)를 오염하여 이물이 발생된다는 문제가 생긴다.
또한, 이와 같은 문제는, 웨이퍼 탑재 전극(111) 표면의 코팅막뿐만 아니라, 처리실(104) 내부에 배치된 부재의 표면을 피복하는 막에서도 마찬가지로 발생할 가능성이 있다. 예를 들면, 코팅막의 형성 후에 제품용 웨이퍼(112)를 처리실(104) 내에 플라즈마를 형성하여 에칭 처리할 때에, 이 플라즈마 내의 하전입자나 반응성 입자와 코팅막을 구성하는 재료가 상호작용을 일으켜 크랙이나 손상이 생기고, 나아가서는 유리된 파편에 의해 상기와 마찬가지로 오염, 이물이 발생할 염려가 있다.
이들 이물이 웨이퍼 상에 부착되면, 제품의 수율을 저하시킬 가능성이 있다. 본 실시예에서는, 이와 같은 문제의 발생을 억제하기 위하여, 웨이퍼(112)를 웨이퍼 탑재 전극(111) 상면에 탑재하고 있지 않은 상태에서 상기한 바와 같이 플라즈마처리에 의해 코팅막을 처리실(104) 내에 형성 후, 상기 돌기나 요철, 거칠음을 저감하는 표면의 처리를 행한다. 본 실시예에서는 이와 같은 표면의 처리를 플라즈마에 의해 코팅막 표면을 소정의 에칭함으로써 행하고 있다. 에칭처리를 위한 플라즈마에 대한 내성을 구비한 피막을 피복한 후, 이와 같은 피막의 애프터 트리트먼트를 행함으로써, 도 8(b)에 나타내는 바와 같이, 코팅막 표면의 거칠음이나 돌기, 요철이 저감되어 상기 이물의 발생을 억제할 수 있다.
이와 같은 코팅막에 형성된 후의 처리를 실시하는 처리의 조건으로서, 불소를 성분으로서 포함하는(F계) 가스를 사용한 플라즈마, 예를 들면 SF6을 사용한 플라즈마에 의한 처리가 유효하다. 또한, 이 후처리(애프터 트리트먼트)를 행하는 시간을 변화시킨 경우의 코팅막의 표면으로부터 유리되는 입자의 수의 변화를 도 9에 나타낸다.
본 도면에 나타내는 바와 같이, 상기 플라즈마를 사용한 후처리를 계속시킨 시간이 약 2초까지는 입자의 수가 급격하게 저감되고, 약 2초를 경과한 후에는 그 수가 0에 가까운 값에 점차로 접근한다. 발명자들은 이와 같은 식견을 얻어, 약 2초 이상의 플라즈마를 사용한 후처리에 의해 코팅막 표면의 거칠음이나 결함을 저감시켜 이물의 발생을 억제하는 것을 상기하였다. 이하, 이와 같은 코팅막을 처리실(104) 내에 형성하는 처리 후에 플라즈마를 사용하여 표면의 거칠음이나 결함을 저감하는 처리를 애프터 트리트먼트라 부른다.
도 10은, 본 실시예에 관한 플라즈마처리장치에서 실시되는 반도체 웨이퍼의 처리의 흐름을 나타내는 플로우차트이다. 또한, 본 도면에서는, 카세트 등 웨이퍼를 수납 가능한 용기 내에 격납된 소정 수의 웨이퍼를 1 로트로서 처리하고, 각 로트마다 플라즈마처리장치(100) 내의 처리실(104)의 내부에 배치된 부재의 표면을 처리에 적합한 상태로 하는 에이징처리(단계 1001)로부터 개시하는 예를 나타내고 있다.
에이징처리는, 처리실(104) 내에 처리대상인 웨이퍼(112)가 배치되어 있지 않은 상태에서, 소정의 가스 예를 들면 Ar 등 불활성 가스를 도입하여 플라즈마를 형성하고, 처리실(104) 내부의 부재의 표면의 온도나 거칠음, 재질 등을 그 후 행하여지는 웨이퍼(112)의 처리에 적합한 상태로 조정하는 처리이다. 이후, 처리실(104) 내에 클리닝용 가스가 도입되어 플라즈마가 형성되고, 처리실(104) 내부의 표면에 부착, 잔존하고 있는 입자나 피막, 퇴적물을 제거하는 클리닝처리가 행하여진다(단계 1002).
클리닝처리가 이루어져 청소가 된 상태의 처리실(104)의 내표면에 에칭처리의 특성을 안정화하기 위한 피막을 피복하는 코팅처리(단계 1003)가 된다. 본 실시예의 코팅처리는, 상기한 바와 같이, 코팅막을 처리실(104) 내의 부재의 표면에 부착시키기 위하여 플라즈마를 형성하는 처리용 가스의 가스종으로서, 예를 들면, SiCl4와 O2의 혼합가스, 또는 SiCl4와 메탄(CH4)의 혼합가스와 희석용 가스로서 Ar과 함께 공급하여 행하여진다. 이들 가스를 사용하여 플라즈마를 형성함으로써, 처리실(104)의 내부에 배치된 부재, 예를 들면 처리실(104) 안쪽 측벽의 표면이나 웨이퍼 탑재 전극(111)의 상면, 측면이 Si 또는 SiO를 포함하는 재료로 구성된 피막에 의해 피복된다.
이 코팅처리(단계 1003)는, 웨이퍼 탑재 전극(111) 상면의 탑재면 상에는 처리대상인 제품 제조용 웨이퍼(112)가 탑재되어 있지 않은 상태에서 행하여진다. 이후, 도입되는 가스가 바뀌고, 예를 들면 SF6으로 변환되어 처리실(104) 내로 도입되어 플라즈마가 형성된다. 이 플라즈마에 의해 이전 단계에 의하여 형성된 코팅막 표면의 거칠음이나 결함을 저감하는 후처리(애프터 트리트먼트)로서의 에칭처리가 행하여진다(단계 1004).
단계 1004 다음에, 상기 처리용 가스가 변환되어 웨이퍼(112)가 처리실(104) 내로 반송되어 웨이퍼 탑재 전극(111) 상의 탑재면 상에 탑재된다(단계 1005). 처리실(104) 내부가 도시 생략한 게이트 밸브에 의해 기밀하게 봉지(封止)되어 밀봉된 후, 상기한 바와 같이, 처리대상의 막에 적합한 처리용 가스가 도입되어 도 2에 나타내는 막 구조가 에칭 처리된다(단계 1006).
웨이퍼(112) 표면의 막 구조의 처리가 종료하면 웨이퍼(112)가 처리실(102) 밖으로 반출되면(단계 1007), 플라즈마처리장치(100)의 도시 생략한 제어장치는 처리할 웨이퍼(112)가 존재하는지의 여부의 정보를 통신수단을 거쳐 입수하여, 처리실(104)에서의 처리대상의 처리를 계속할지의 여부를 판정한다(단계 1008). 다음에 처리해야 할 웨이퍼(112)가 있다고 판정된 경우에는, 단계 1002로 되돌아가, 코팅막을 포함하는 퇴적물, 막, 잔존한 입자를 클리닝을 행한다.
처리해야 할 웨이퍼(112)가 없다고 판정된 경우에는, 단계 1009로 이행하고, 단계 1002와 마찬가지로 처리실(104) 내를 세정한 후, 웨이퍼(112)의 처리를 종료한다(단계 1010). 또한, 처리실(104) 내의 처리가 종료하여도, 처리 후의 웨이퍼(112)는 반송 도중인 경우도 있기 때문에, 제어장치에 의해 웨이퍼(112)가 원래의 카세트의 원래의 위치에 수납된 것이 판정되었을 때에, 제어장치로부터 플라즈마처리장치(100)에 구비된 표시 모니터, 버저, 라이트 등의 통지수단에 의해, 처리의 종료가 통지된다.
본 실시예에 나타내는 이들 처리가 실시됨으로써, 처리실(104) 내벽의 상태가 처리 매수의 증대에 따라, 또는 처리의 진행에 따라 시간적으로 변화됨으로써 악영향, 예를 들면 처리실(104) 내벽으로부터의 이물의 발생이나 웨이퍼(112)의 처리 결과의 균일성의 저하, 처리의 속도나 가공형상의 재현성이라는 특성이 변동되는 것을 억제할 수 있다. 또한, 코팅의 피막을 형성한 후에 애프터 트리트먼트 처리를 행함으로써 코팅막 표면의 손상이나 상태의 변화를 억제하여 이물의 발생이나 웨이퍼(112) 처리에 대한 영향을 억제할 수 있다.
이로써, 에칭처리의 재현성이나 수율을 향상시킬 수 있다. 또한, 본 실시예에서는 도 2(a)에 나타내는 바와 같은 막 구조의 에칭에 대하여 설명하였으나, 본 실시예에 포함되는 발명은, 도 2(b)에 나타내는 바와 같은 금속막(예를 들면 TiN)을 포함하는 막 구조를 에칭처리하는 경우에서도, 단계 1002, 단계 1008에 나타낸 클리닝처리에 의해, 처리실(104) 내부에 잔류하는 메탈재료의 성분, 예를 들면 Ti를 조성으로서 포함하는 반응생성물을 코팅막과 함께 제거할 수 있기 때문에, 재현성이 좋은 플라즈마처리가 가능해진다.
지금까지의 실시예의 설명에서는, 도 2(a)에 나타내는 메탈막(205)을 포함하지 않는 막 구조를 에칭하여 반도체 디바이스를 제조하는 공정에 대하여 설명하였다. 다음에, 도 2(b)에 나타내는 메탈막(205)을 포함하는 처리대상의 막 구조를 에칭하는 공정에 대하여 설명한다. 지금까지의 실시예와 동등한 부분에 대해서는 설명을 생략한다.
도 2(b)와 같이 메탈막(205)을 포함하는 막 구조를 에칭처리하는 기술은, 종래, 웨트 세정 등의 처리실(204)의 내부 부재의 표면 클리닝을 실시한 후, 처리실(104)의 내부를 그 후의 웨이퍼(112)의 에칭처리에 적합한 상태로 길들이기 위하여, Si, PR 또는 산화막 등의 메탈막을 가지지 않은 막을 표면에 구비한 웨이퍼를 처리실(104) 내에 배치하고 플라즈마를 형성하여 상기한 길들이기 처리(시즈닝처리)를 실시한 후에 제품 제조용 웨이퍼를 처리하고 있었다.
도 11은, 이와 같은 종래의 기술에 의해 웨트 세정 후에 도 2(b)에 나타내는 메탈막/High-k막을 구비한 막 구조를 상면에 구비한 소정 로트의 웨이퍼 복수를 처리하였을 때의 폴리실리콘(Poly-Si)막의 에칭속도(레이트)의 변화를 나타내는 그래프이다. 본 도면에 나타내는 바와 같이, 종래의 기술에서는 웨이퍼의 처리를 개시한 로트의 극초기에서는 에칭 레이트가 급격하게 증가하고, 그 후 에칭 레이트는 임의의 값으로 점차로 접근하여 안정이 된다.
발명자들은, 이와 같은 현상이 생기는 원인은, 처리실(104) 내에 잔류하는 메탈막의 성분(예를 들면 Ti)에 불소(F)나 염소(Cl) 등의 처리실(104) 내에 공급된 가스의 성분이 흡착되기 때문에, 처리 중의 F나 Cl 농도가 증가하는 것이라는 식견을 얻었다. 일반적으로 Ti-F, Ti-O의 결합은 안정이고, 종래는 플라즈마를 사용한 클리닝처리조건으로는 제거하는 것이 어려웠다. 한편, 이와 같은 프로세스 변동을 억제하기 위하여, 피처리재에 포함되는 메탈재료(예를 들면 TiN)와 동종의 웨이퍼를 처리하여, 처리실(104) 내부에 Ti를 부착시키는 Ti 시즈닝을 행함으로써, 처리실 내부의 분위기를 안정화하는 것도 생각되고 있다.
도 12는, High-K막(206)의 에칭단계와 메탈(예를 들면 TiN)막(205)의 에칭단계의 조건, 예를 들면 처리를 계속하는 시간의 변화에 대한 폴리실리콘(Poly-Si)막(203)의 에칭 레이트와 챔버 내에 잔류하는 Ti량의 변화를 나타내는 그래프이다. 이 도면은, Ti량은 처리실(104) 내부에 Ti가 잔류한 상태에서 청정한 Si 웨이퍼를 웨이퍼 탑재 전극(111) 상에 설치하고, 플라즈마형성용 가스로서 Ar을 공급하여 플라즈마를 형성함으로써 처리실(104) 내 벽면에 잔류한 Ti를 스퍼터한 결과 웨이퍼 상에 부착된 Ti량을 TXRF(Total reflection x-ray fluorescence)에 의해 측정하여 구한 것이다.
메탈막(205)의 에칭처리의 시간에 대하여, High-K막(206)의 에칭처리의 시간이 길수록, 폴리실리콘막(203)의 에칭 레이트는 저하하여 가는 것, 또한 이들 시간의 비율이 소정의 값 이상이 되면 당해 에칭 레이트는 임의의 값으로 점차로 접근하는 것을 알 수 있다. 마찬가지로, 처리실(104) 내부에 잔류하는 Ti량도 High-K막(206)의 에칭처리시간의 비율이 증가함과 동시에 저하하는 것을 알 수 있었다.
상술한 바와 같이, 처리실(104) 내에 잔류하는 Ti는 Ti-F나 Ti-O와 같은 안정된 결합상태에서 잔류한다고 추정되기 때문에, High-K막(206)의 에칭 처리단계에서는 처리용 가스로서 BCl3을 성분으로서 포함하는 가스가 사용된다. 발명자들은, 이때에 B가 환원제로서 작용하여 상기 Ti-O, Ti-F 등의 결합이 끊어지기 쉬워져, 잔류하는 Ti가 제거되어 있다고 생각하였다.
즉, 본 실시예의 막 구조의 에칭처리에서는, 메탈막(205)의 에칭 처리단계에서는 처리실(104) 내에 메탈막(205)의 금속성분(예를 들면 Ti)을 공급하고, 한편 High-K막의 에칭 처리단계에서는 처리실(104) 내에 잔류하는 금속성분(예를 들면 Ti)을 제거하고 있다. 각각의 에칭 처리시간은, 제조하는 반도체 디바이스의 구조에 의해 각 막 두께가 다르기 때문에 제조 대상 반도체 디바이스에 따라 처리실(104) 내에 잔류하는 Ti량은 다르게 된다.
단일한 반도체 디바이스만을 제조하는 경우에 상기한 Ti 시즈닝 등의 방법에 의하여, 처리실(104) 내벽의 표면상태를 안정화함으로써 반도체 디바이스 제조를 위한 에칭처리의 특성을 안정화하는 것은 가능하다. 그러나, 다른 디바이스를 복수, 한번에 작성하는 경우에는, 제품마다 분위기의 리세트(예를 들면 웨트 처리)와 시즈닝을 행할 필요가 있어, 장치의 다운타임이 길어져 스루풋이 저하한다는 문제가 있다.
상술한 바와 같이, 메탈, 예를 들면 Ti계의 반응생성물은 Ti-O, Ti-F 등의 강고한 결합으로 잔류하기 때문에, 종래의 F계 가스 플라즈마처리만으로의 제거는 어렵다. 그 때문에 상기 실시예에 기재된 바와 같이, 처리대상인 웨이퍼(112)를 처리실(104) 내의 웨이퍼 탑재 전극(111) 상에 설치하기 전에, 처리실(104) 내벽 및 웨이퍼 탑재 전극(111) 상면의 용사막 상에 코팅막을 형성하기 위한 플라즈마처리를 실시한 후, 웨이퍼(112)를 전극 상에 탑재하여 웨이퍼(112)의 상기 막 구조를 에칭하는 처리를 행한다. 그리고, 에칭 처리의 종료 후, 코팅막을 제거하는 클리닝처리를 행한다. 이때 코팅막 상에 퇴적한 메탈막(205)의 성분을 포함하는 물질, 예를 들면 Ti계 반응생성물을 코팅막과 함께 제거한다. 이와 같은 처리를 행함으로써, 더욱 안정된 플라즈마처리가 가능하나, 처리의 조건 등에 따라서는, Ti계 반응생성물이라는 메탈재료가 처리실 내에 잔류하고, 이 잔류한 물질에 의해 그 후의 메탈막(205)의 에칭처리에 영향 및 처리 특성의 변동이 커진다는 문제가 생긴다.
본 실시예에서는, 이와 같은 코팅막 형성 후에 클리닝 처리에 더하여, 처리실(104)에 잔류한 메탈재료의 성분을 제거하는 메탈 클리닝 단계를 구비하고 있다. 이와 같은 메탈 클리닝 단계를 삽입하는 타이밍으로서는 2개의 경우가 있고, 이하 각각의 경우에 대하여 설명한다.
제 1 경우에 대하여 도 13을 이용하여 설명한다. 도 13은, 도 1에 나타내는 플라즈마처리장치에서 메탈 클리닝 단계를 포함하는 처리의 흐름을 나타내는 플로우차트이다. 본 실시예에서는, 이와 같은 처리는 웨이퍼마다 실시되는 예를 나타내고 있으나, 당해 동작에 관한 처리를 웨이퍼의 소정의 매수마다 행하여도 된다.
도 13은, 처리실(104) 내부의 부품교환 등의 정기적인 메인터넌스 후에 처리실을 밀봉하여 감압을 개시하고, 플라즈마처리장치(100)를 다시 동작시키는 경우 또는, 새로운 로트의 처리를 개시하는 경우의 동작의 흐름을 나타내고 있다. 먼저, 본 예에서는, 먼저, 처리실(104) 내부의 분위기를 조정하기 위한 로트 전의 에이징처리를 실시한다(단계 1301). 이후, 통상의 반응생성물을 제거하기 위한 클리닝처리를 실시한다(단계 1302). 이와 같은 클리닝은 처리실(104) 내에 형성한 플라즈마를 사용하여 처리실(104) 내 벽면 상에 부착, 퇴적하여 잔존하는 물질을 제거함으로써 행하여진다.
또한, 이 에이징처리 및 클리닝처리는, 도 10의 단계 1001, 단계 1002에서 실시되는 것과 동등한 목적, 조건, 작용으로 행하여진다. 이 클리닝처리(단계 1302)에서 메탈성분도 제거하여도 된다.
그후, 도 10의 단계 1003과 동등한 목적, 조건, 작용을 구비한 상기 코팅막을 형성하는 처리에 의하여, 처리실(104) 내부의 부재 표면 및 웨이퍼 탑재 전극(111)의 상면, 측면 상에 코팅막을 형성한다(단계 1303). 다음에, 이와 같은 코팅막에 포함된 메탈막(205)의 성분에 기인하는 메탈재료를 제거하는 메탈 클리닝 처리를 실시하여 처리실(104) 내부에 잔존하는 메탈의 제거를 행한다(단계 1304). 또한, 이후, 단계 1302와 동등한 통상의 클리닝 처리를 실시하고, 잔존하는 코팅막의 제거를 행한 후(단계 1305), 실제 웨이퍼(112)의 처리를 행한다.
본 도면에서, 처리대상이 되는 웨이퍼(112)를 처리실(104) 내에 배치하여 웨이퍼(112)의 에칭처리를 개시하기 전에, 처리실(104)의 내벽 및 용사막을 가지는 웨이퍼 탑재 전극(111) 상에 코팅막을 퇴적시키기 위한 플라즈마처리 및 애프터 트리트먼트 처리를 실시한다(단계 1306). 이후 웨이퍼(112)를 웨이퍼 탑재 전극(111) 상에 탑재하여 웨이퍼(112) 상에 배치된 처리대상인 도 2(b)의 막 구조를 소정의 조건을 실현하여 에칭처리를 행한다(단계 1307).
웨이퍼(112) 상의 처리대상인 막에 대한 에칭처리가 종료한 후, 웨이퍼(112)를 처리실(104)로부터 반출한 후에 처리실(104) 내부의 부재의 표면 및 웨이퍼 탑재 전극(111)의 표면 상에 단계 1306에서 형성된 코팅막이 잔존하는 상태에서, 처리실(104)의 내부에 잔류하는 메탈막(205)을 구성한 성분, 예를 들면 Ti를 클리닝하기 위한 메탈 클리닝 처리를 행한다(단계 1308).
상술한 바와 같이, Ti는 Ti-O, Ti-F 등의 안정된 결합상태로 되어 있는 경우가 많다고 생각되기 때문에, 단계 1308의 메탈 클리닝 처리에서는, 처리실(104) 내에 처리용 가스로서 보론(B), 수소(H), 탄소(C), 실리콘(Si) 중 어느 하나의 가스 또는 이들을 조합하여 구성되는 물질을 성분으로서 포함하는 것이 공급된다. 나아가서는, 이들 원소의 어느 하나 또는 이것들의 조합과 염소(Cl) 또는 불소(F)를 아울러 처리실(104)의 내부에 공급하여 플라즈마를 형성함으로써, 처리실(104) 내에 잔류하는 Ti가 제거된다.
이와 같은 메탈 클리닝 처리에 사용되는 처리용 가스의 예로서는, HCl, SiCl4, BCl3, CH4와 Cl2 또는 F를 포함하는 가스 SF6, CF4, CxHyFz와의 혼합가스를 생각할 수 있다. 특히, 발명자들의 검토에 의하면, BCl3과 Cl2의 혼합가스, SiCl4와 Cl2의 혼합가스에서는, Ti의 클리닝 효과가 높다. 또한, 필요에 따라 상기 혼합가스를 희석가스 등에 의해 희석하여도 동일한 효과가 얻어진다.
일반적으로 웨이퍼(112)가 탑재되는 웨이퍼 탑재 전극(111)의 탑재면은 세라믹스재로 구성되어 있고, 예를 들면, Al2O3나 Y2O3 등의 재료가 사용되고 있는 것이 일반적이다. 이와 같은 세라믹스 재료에 의해 구성된 부재에 플라즈마가 면하면, 세라믹스가 플라즈마 내의 하전입자나 반응성 입자와의 상호작용에 의해 에칭되어 소모된다. 본 예에서는, 세라믹스로 구성된 탑재면 상에 코팅막이 배치된 상태에서, 상기한 애프터 트리트먼트 처리나 메탈 클리닝 처리라는 플라즈마처리를 실시할 수 있기 때문에, 플라즈마에 의한 상기 탑재면을 구성하는 세라믹스의 손상이 억제된다.
상기한 메탈 클리닝 처리를 처리실(104) 내에 잔류하는, 특히 코팅막의 성분 또는 처리실(104) 내벽의 부재의 성분과 화합하여 코팅막 내에 존재하는 메탈성분의 물질의 양이 충분히 감소할 때까지 실시한 후, 코팅막 및 처리실 내에 잔류하는 그 밖의 반응생성물을 제거하기 위한 클리닝처리를 행한다(단계 1309). 이 클리닝처리는, 코팅막이 Si를 포함하는 성분으로 구성된 막인 경우 예를 들면, 코팅막 형성의 플라즈마처리(단계 1306)를 SiCl4, O2의 혼합가스, 또는 SiCl4, O2, Ar의 혼합가스를 사용하여 실시한 경우에는, 불소(F)를 함유 또는 불소(F)와 산소(O)를 성분으로서 포함하는 가스를 처리용 가스로서 사용하여 플라즈마를 형성하여 클리닝이 실시된다.
이로써, 클리닝 효과가 높은 클리닝이 가능해진다. 예를 들면, SF6, NF3이나 그것들과 O2의 혼합가스 등이 적합하다. 이 클리닝처리 후, 다른 웨이퍼(112)를 처리할지의 여부가 판정되어, 처리의 여부에 따라 단계 1306 또는 단계 1310으로 이동한다. 단계 1310에서는 다음에 처리할 웨이퍼(112)는 없다고 판정되어 처리실(104) 내에서의 처리가 종료된다.
상기한 플라즈마처리를 반복함으로써, 웨이퍼마다 처리실(104) 내부의 부재의 표면의 상태가 복원되고, 처리에 적합한 것으로 조정되기 때문에, 재현성 좋게, 이물의 발생이 적은 처리가 가능해진다. 또한, 이들 처리를 행함으로써, 처리실(104) 내부의 청소나 부품교환이라는 정기적인 메인터넌스 전후, 및 로트 사이에서의 처리실(104) 내부의 조건의 불균일이 억제되기 때문에, 재현성이 좋은 처리가 가능해진다.
다음에, 제 2 경우를 도 14를 이용하여 설명한다. 본 도면에서는, 제 1 경우와 동일부분은 설명을 생략하고, 다른 부분만 설명한다.
도 14는, 도 1에 나타내는 플라즈마처리장치에서 메탈 클리닝 단계를 포함하는 처리의 흐름의 다른 예를 나타내는 플로우차트이다. 제 2 경우에서는, 메탈 클리닝 처리를 실시하는 타이밍이 제 1 경우와 다르다.
제 1 경우에서는 메탈 클리닝 처리는 웨이퍼(112)의 처리대상인 막 구조의 에칭처리를 종료한 후에 실시되고 있었던 것에 대하여, 제 2 경우에서는 코팅막을 처리실(104) 안쪽의 부재 표면 및 웨이퍼 탑재 전극(111) 상에 형성한 후, 웨이퍼(112)를 처리실(104) 내로 도입하여 에칭처리를 실시하기 전에 메탈 클리닝 처리를 실시한다(단계 1404). 이 제 2 경우에서도 제 1 경우와 마찬가지로, 웨이퍼(112)마다 처리실(104) 내부의 부재의 표면상태가 복원되어 조정되기 때문에, 재현성 좋게, 이물발생이 적은 처리가 실현된다.
다음에, 메탈 클리닝 처리에 의한 메탈성분을 제거하는 효과를 측정한 예를 나타낸다. 도 15는, 도 14에 나타내는 변형예에서의 코팅막의 깊이 위치에 대한 메탈성분의 농도의 변화를 나타내는 그래프이다.
본 측정에서는, 임의의 웨이퍼(112)에서 도 2(b)에 나타내는 막 구조의 메탈막(205)의 에칭처리가 행하여져 처리실(104) 내에 Ti가 잔류하고 있고, 그 후의 웨이퍼(112)의 처리 시에 그 위에 코팅막이 퇴적한 상태를 모의하기 위하여, TiN막 위에 SiO2막을 SiCl4, O2의 혼합가스에 의한 플라즈마처리에 의해 퇴적시켰다. 그 코팅막(본 예에서는 SiO2막) 표면으로부터 내부의 Ti의 분포를 SIMS(Secondary ion mass spectrometry)에 의해 측정한 결과를 나타내고 있다.
도면에서 X축의 마이너스측이 SiO2막, 플러스측이 TiN막을 나타내고 있고, Y축은 Ti 농도이다. 본 도면에서, SiO2막 중에도 Ti가 존재하고 있는 것을 알 수 있다. 이것은, 처리실(104) 내의 Ti가 SiO2막 중으로 확산된, 또는 일단 에칭처리되어 처리실(104) 내부로 유리된 Ti 입자가 다시 SiO2의 퇴적과 함께 샘플 위에 퇴적한 등의 이유를 생각할 수 있다.
발명자들은, 이와 같이, 코팅막(본 예에서는 SiO2막) 중에는 메탈성분인 Ti가 조성으로서 존재하기 때문에, 이 처리실(104) 내에 잔류하고 있는 Ti에 의해 처리실(104) 내부의 가스의 분압이나 처리의 조건, 특성의 경시적인 불균일이 발생한다고 생각하였다. 한편, 잔류하는 이와 같은 Ti의 영향을 적게 하기 위하여, 또한 코팅막 두께를 증가시키는 것도 유효한 수단이라고 생각할 수 있으나, 웨이퍼처리마다 실시하는 코팅막 형성에 필요한 시간도 연장되게 되어, 스루풋이 저하된다는 문제가 생긴다.
한편, 도면에서의 □는, 코팅막을 형성 후 메탈 클리닝 처리로서 BCl3, Cl2의 혼합가스에 의한 플라즈마처리를 실시한 경우의, 코팅막 내에서의 Ti 분포를 나타낸다. 메탈 클리닝 처리를 실시한 경우에는, 실시하지 않은 경우에 비하여 표면 부근의 Ti 농도가 감소하고 있는 것을 알 수 있다. 이와 같이, 메탈 클리닝 처리를 실시함으로써, 코팅막의 표면 및 그 근방의 깊이 위치에 존재하는 메탈성분인 Ti의 농도를 저하시키는 것이 가능해진다. 이에 의하여, 코팅막 내에 잔류하는 메탈성분, 예를 들면 Ti에 의해 처리실(104) 내의 분위기에 대한 악영향을 저감할 수 있고, 재현성 좋고, 안정되고, 이물발생이 적은 플라즈마처리가 가능해진다.
메탈 클리닝 처리에 처리용 가스로서 SiCl4와 Cl2의 혼합가스를 사용하는 경우, 그 혼합비에 의해 메탈성분 제거의 효과가 다르다. 예를 들면, Cl2의 유량에 대하여 SiCl4 유량을 증가시키면 Ti의 잔류량이 감소하여 가는 경향이 있다. SiCl4/Cl2의 유량비를 O.2 이상으로 함으로써, 처리의 속도 등의 처리의 특성의 변동이 억제되는 것이 발명자들의 검토에 의해 식견으로서 얻어졌다. 한편, SiCl4의 유량을 더욱 증가시키면 처리실(104) 내에 과잉의 Si를 성분으로 하는 생성물이 퇴적하기 때문에, 메탈성분(예를 들면 Ti)의 클리닝처리를 행하는 데 있어서는 SiCl4/Cl2 유량비는 0.2~1.0으로 하는 것이 바람직하다.
본 실시예에서는, 메탈 클리닝을 처리실 내에 잔류하는 메탈량이 충분히 감소할 때까지 실시한다. 메탈 클리닝 처리에서 플라즈마로부터 얻어진 발광을 검출함으로써, 메탈 클리닝 처리의 종점을 판정할 수 있다.
도 16은, 메탈막을 미리 에칭 처리한 경우와 처리하지 않은 경우의, 메탈 클리닝 처리에서의 메탈 성분에 기인하는 발광 강도의 시간변화를 나타내는 그래프이다. 본 측정에서는, 메탈막(205)의 재료로서 TiN을 처리하고, Ti의 발광강도의 변화를 측정하였다. 도면에서의 점선이, 메탈을 처리하지 않은 경우의 발광강도, 실선이 메탈을 처리한 경우의 발광강도이다. 미리 메탈막(205)을 처리한 경우에는, 클리닝처리의 계속시간과 함께 발광강도가 감소하여, 메탈을 처리하지 않은 경우와 동일 레벨까지 저하한다.
그래서, 미리 메탈을 처리하지 않은 경우의 발광강도의 값을 RAM 등의 기억수단에 기억하여 두고, 이 값을 설정값으로 하여 당해 설정값과 메탈막(205)을 포함하는 막 구조를 처리한 후의 메탈 클리닝 처리 중에 얻어진 플라즈마의 발광의 강도를 비교하고, 이 설정값과 동등하다고 판정된 시각을 메탈 클리닝 처리를 종료시키는 시각으로 하여 처리의 종료를 판정시킬 수 있다.
상기 실시예에 의하면, 처리실(140) 내부의 잔류물에 의한 처리에 대한 악영향이 저감되고, 처리실(104) 내부의 가스의 분압이나 내부 부재의 표면상태가 안정화되기 때문에, 처리 속도 등의 특성의 변동이 억제되어 가공한 결과로서의 형상의 변동을 억제할 수 있고, 나아가서는 가공의 정밀도를 향상시킬 수 있다.
상기한 실시예, 변형예에서는, 특히 플라즈마처리장치로서 ECR 플라즈마를 사용하는 것에 대하여 설명하였으나, 본 발명은, 다른 플라즈마장치, 예를 들면 유도 결합형 플라즈마(ICP), 용량 결합형 플라즈마장치(CCP)를 사용한 플라즈마처리에 대해서도 동일한 효과가 있다.
101 : 진공용기 102 : 샤워 플레이트
103 : 유전체창 104 : 처리실
105 : 가스 공급장치 106 : 진공 배기구
107 : 도파관 108 : 공동 공진기
109 : 전자파 발생용 전원 110 : 자장발생 코일
111 : 웨이퍼 탑재 전극 112 : 웨이퍼
113 : 매칭회로 114 : 고주파 전원
115 : 필터 116 : 직류전원
117 : 냉매용 유로 118 : 온도 조절기
119, 122 : 히터 120 : 히터 제어기
121 : 온도센서 123 : 발광 분광기
124 : 발광 데이터 처리장치

Claims (8)

  1. 진공용기 내부에 배치된 처리실과, 이 처리실의 하부에 배치되어 그 상면에 처리대상인 웨이퍼가 탑재되는 시료대와, 상기 처리실 내부를 배기하여 감압하는 배기장치와, 상기 시료대의 위쪽에 배치되어 상기 처리실에 처리용 가스를 도입하는 도입 구멍과, 상기 처리실 내에 공급된 상기 처리용 가스를 이용하여 플라즈마를 형성하기 위한 전계를 공급하는 수단을 구비하고, 상기 웨이퍼의 상면에 배치된 막 구조를 상기 처리용 가스를 사용하여 형성한 플라즈마를 사용하여 에칭 처리하는 플라즈마처리장치에 있어서,
    상기 웨이퍼를 상기 시료대 위에 탑재하여 상기 막 구조를 에칭 처리하기 전에 상기 도입 구멍으로부터 Si를 포함하는 가스를 상기 처리실 내에 공급하여 상기 전계를 공급하는 수단으로부터의 전계에 의하여 상기 처리실 내에 플라즈마를 형성하여 이 처리실 내부의 부재의 표면에 Si를 성분으로서 포함하는 피막을 피복하는 공정과, 상기 피막을 형성하는 공정 다음에 이 피막의 표면을 처리하기 위하여 상기 처리실 내에 플라즈마를 형성하는 후처리 공정을 실시하고, 이 후처리 공정 다음에 상기 웨이퍼를 상기 처리실 내의 상기 시료대 위에 배치하여 에칭 처리하는 플라즈마처리장치.
  2. 제 1항에 있어서,
    상기 막 구조가 폴리실리콘막과 절연막의 사이에 배치된 금속재료로 구성된 금속막을 갖고, 상기 피막으로부터 상기 금속재료를 제거하기 위한 클리닝 처리를 상기 에칭 처리의 종료 후이며 다음의 에칭 처리의 개시 전에 실시하는 플라즈마처리장치.
  3. 제 2항에 있어서,
    상기 클리닝 처리를, 상기 에칭 처리의 종료 후이며 상기 웨이퍼를 상기 처리실로부터 반출하여 다음 웨이퍼를 상기 시료대에 올려 놓은 후에 실시하는 플라즈마처리장치.
  4. 진공용기 내부에 배치되어 감압된 처리실 내의 하부에 배치된 시료대의 상면에 처리대상인 웨이퍼를 탑재하고, 상기 시료대의 위쪽에 배치된 도입 구멍으로부터 상기 처리실에 처리용 가스를 도입하여 플라즈마를 형성하여 상기 웨이퍼의 상면에 배치된 막 구조를 에칭하는 플라즈마처리방법에 있어서,
    상기 웨이퍼를 상기 시료대 위에 탑재하여 상기 막 구조를 에칭 처리하기 전에 상기 처리실 내에 플라즈마를 형성하여 이 처리실 내부의 부재의 표면에 Si를 성분으로서 포함하는 피막을 피복하는 공정과, 상기 피막을 형성하는 공정 다음에 이 피막의 표면을 처리하기 위하여 상기 처리실 내에 플라즈마를 형성하는 후처리 공정을 실시하고, 이 후처리 공정 다음에 상기 웨이퍼를 상기 처리실 내의 상기 시료대 위에 배치하여 에칭 처리하는 플라즈마처리방법.
  5. 제 4항에 있어서,
    상기 막 구조가 폴리실리콘막과 절연막의 사이에 배치된 금속재료로 구성된 금속막을 갖고, 상기 피막으로부터 상기 금속재료를 제거하기 위한 클리닝 처리를 상기 에칭 처리의 종료 후이며 다음의 에칭 처리의 개시 전에 실시하는 플라즈마처리방법.
  6. 제 5항에 있어서,
    상기 클리닝 처리를, 상기 에칭 처리의 종료 후이며 상기 웨이퍼를 상기 처리실로부터 반출하여 다음 웨이퍼를 상기 시료대에 올려 놓은 후에 실시하는 플라즈마처리방법.
  7. 삭제
  8. 삭제
KR1020100072771A 2010-03-16 2010-07-28 플라즈마처리장치 및 플라즈마처리방법 KR101214505B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010058841A JP5450187B2 (ja) 2010-03-16 2010-03-16 プラズマ処理装置およびプラズマ処理方法
JPJP-P-2010-058841 2010-03-16

Publications (2)

Publication Number Publication Date
KR20110104415A KR20110104415A (ko) 2011-09-22
KR101214505B1 true KR101214505B1 (ko) 2012-12-27

Family

ID=44646400

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100072771A KR101214505B1 (ko) 2010-03-16 2010-07-28 플라즈마처리장치 및 플라즈마처리방법

Country Status (4)

Country Link
US (4) US8557709B2 (ko)
JP (1) JP5450187B2 (ko)
KR (1) KR101214505B1 (ko)
TW (3) TWI430361B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170139617A (ko) * 2015-04-23 2017-12-19 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정 종료점에 대한 인-시튜 식각률 결정

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130084707A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5807511B2 (ja) * 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP5956933B2 (ja) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP5740447B2 (ja) * 2013-10-10 2015-06-24 株式会社東芝 半導体装置の製造方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
JP2015088696A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9412606B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Target dimension uniformity for semiconductor wafers
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105097485B (zh) * 2014-05-05 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 腔室环境调控方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6424049B2 (ja) * 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6275610B2 (ja) * 2014-09-26 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6486215B2 (ja) * 2015-06-17 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6568457B2 (ja) * 2015-11-11 2019-08-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US10002745B2 (en) * 2016-05-03 2018-06-19 Applied Materials, Inc. Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6630649B2 (ja) 2016-09-16 2020-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6609535B2 (ja) 2016-09-21 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106887381B (zh) * 2017-03-27 2019-11-22 上海华力微电子有限公司 一种刻蚀腔体环境稳定性的优化方法
CN110268508B (zh) * 2017-03-27 2024-03-19 株式会社日立高新技术 等离子体处理方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6845773B2 (ja) 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
US20220375726A1 (en) 2020-02-10 2022-11-24 Hitachi High-Tech Corporation Plasma processing method
JPWO2021260869A1 (ko) 2020-06-25 2021-12-30
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JPWO2023238266A1 (ko) * 2022-06-08 2023-12-14

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164576A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置の製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098005A (ja) * 1995-06-22 1997-01-10 Mitsubishi Electric Corp 半導体処理装置
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
KR20010080572A (ko) * 1998-11-26 2001-08-22 가나이 쓰토무 드라이 에칭 장치 및 드라이 에칭 방법
JP3709552B2 (ja) * 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3644013B2 (ja) 2000-03-01 2005-04-27 富士通株式会社 半導体装置の製造方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP2002359234A (ja) 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理方法
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
JP3612526B2 (ja) 2002-06-21 2005-01-19 株式会社日立ハイテクノロジーズ プラズマ処理装置のシーズニング方法
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7390710B2 (en) * 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008153365A (ja) * 2006-12-15 2008-07-03 Renesas Technology Corp 半導体装置の製造方法
JP2008244292A (ja) 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
JP2010050310A (ja) 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164576A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170139617A (ko) * 2015-04-23 2017-12-19 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정 종료점에 대한 인-시튜 식각률 결정
KR102507814B1 (ko) * 2015-04-23 2023-03-07 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정 종료점에 대한 인-시튜 식각률 결정

Also Published As

Publication number Publication date
TW201639030A (zh) 2016-11-01
JP2011192872A (ja) 2011-09-29
TWI430361B (zh) 2014-03-11
US20150024599A1 (en) 2015-01-22
TW201133605A (en) 2011-10-01
TWI593017B (zh) 2017-07-21
KR20110104415A (ko) 2011-09-22
US8557709B2 (en) 2013-10-15
US20140053983A1 (en) 2014-02-27
US9960031B2 (en) 2018-05-01
TW201432813A (zh) 2014-08-16
TWI559395B (zh) 2016-11-21
US20110226734A1 (en) 2011-09-22
US9496147B2 (en) 2016-11-15
US20170032955A1 (en) 2017-02-02
JP5450187B2 (ja) 2014-03-26

Similar Documents

Publication Publication Date Title
KR101214505B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
US11742214B2 (en) Plasma processing method
JP5750496B2 (ja) プラズマ処理方法
US20090159209A1 (en) Plasma etching method and plasma etching apparatus
TWI442468B (zh) Plasma processing device and plasma processing method
US20100178415A1 (en) Method for seasoning plasma processing apparatus, and method for determining end point of seasoning
JP5853087B2 (ja) プラズマ処理方法
KR20080014773A (ko) 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
US9147556B2 (en) Plasma processing method and plasma processing apparatus
JP6169666B2 (ja) プラズマ処理方法
JP2018049896A (ja) プラズマ処理方法
JP4745273B2 (ja) 半導体装置の製造方法及び半導体製造装置
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
JP2015088696A (ja) プラズマ処理方法
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP2009260091A (ja) プラズマ処理装置のシーズニング方法
JP2010118418A (ja) プラズマ処理装置のクリーニング方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171114

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181121

Year of fee payment: 7