CN104241071A - 等离子体处理装置及等离子体处理方法 - Google Patents

等离子体处理装置及等离子体处理方法 Download PDF

Info

Publication number
CN104241071A
CN104241071A CN201410030630.3A CN201410030630A CN104241071A CN 104241071 A CN104241071 A CN 104241071A CN 201410030630 A CN201410030630 A CN 201410030630A CN 104241071 A CN104241071 A CN 104241071A
Authority
CN
China
Prior art keywords
high frequency
plasma
time
frequency power
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410030630.3A
Other languages
English (en)
Other versions
CN104241071B (zh
Inventor
森本未知数
安井尚辉
大越康雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of CN104241071A publication Critical patent/CN104241071A/zh
Application granted granted Critical
Publication of CN104241071B publication Critical patent/CN104241071B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明提供在对等离子体生成用高频电力和高频偏置电力进行时间调制的等离子体处理装置中能稳定地供应高频偏置电力的等离子体处理装置。本发明的等离子体处理装置具备:供应用于在真空容器内生成等离子体的第一高频电力的第一高频电源、对载置样品的样品台供应第二高频电力的第二高频电源、以及第二高频电源的匹配器,在对第一高频电力和第二高频电力进行时间调制的情况下,匹配器在采样有效期间内采样用于进行匹配的信息,该采样有效期间设为从以时间调制后的第二高频电力的导通开始时间作为开始时间的给定时间经过后起至导通结束时间为止的期间;且从导通结束时间后起至下一采样有效期间为止,进行控制来维持在采样有效期间内所进行的匹配状态。

Description

等离子体处理装置及等离子体处理方法
技术领域
本发明涉及等离子体处理装置以及等离子体处理方法,特别涉及适合为了加工半导体元件等的样品而使用等离子体来实施高精度的蚀刻处理的等离子体处理装置以及等离子体处理方法。
背景技术
现有技术中,作为对半导体元件的表面进行处理的方法,以等离子体来蚀刻半导体元件的装置是公知的。在此,以电子回旋共振(ElectronCyclotron Resonance:ECR,以下,简称为ECR)方式的等离子体蚀刻装置为例来说明现有技术。
在该ECR方式中,在从外部施加了磁场的真空容器中通过微波来产生等离子体。电子通过磁场而回旋运动,通过使该频率与微波的频率共振,能效率良好地生成等离子体。由于要对入射至半导体元件的离子进行加速,因此将高频电力按照大致正弦波以连续波形施加至样品。在此,以下,将施加至样品的高频电力称为高频偏置。另外,针对样品,作为一例,记载有晶片的情况。
另外,作为等离子体的气体广泛使用了氯或氟等的卤素气体。被蚀刻材料与由等离子体产生的自由基(radical)或离子进行反应,从而蚀刻进行。为了高精度地控制蚀刻加工,需要进行基于等离子体控制的自由基种的选择或离子量的控制。作为自由基或离子的控制方法,有对等离子体进行时间调制的脉冲等离子体方式。脉冲等离子体通过重复等离子体的导通(on)与截止(off)来控制离解,从而控制自由基的离解状态或离子密度。通过将脉冲等离子体的导通与截止的重复频率(以下称为脉冲频率)以及导通时间相对于重复频率的1周期之比(以下,称为占空比)导通时间与截止时间之比设为控制参数,能实现蚀刻加工的高精度控制。在专利文献1中公开了利用脉冲等离子体的蚀刻加工控制方法。
对脉冲等离子体应用了连续波形的高频偏置的情况如图1所示。对等离子体的截止时间也施加高频偏置。一般而言,关于等离子体的截止时间,由于等离子体密度低,因此从高频偏置来看的阻抗变高,从而施加至晶片的电压的振幅值(以下称为Vpp)变高。Vpp变高从而离子照射能量变高,存在对晶片引起损害的可能性。
作为避免该损害的方法,有在等离子体的截止期间不施加高频偏置的方法。一例如图2所示。对高频偏置也与脉冲等离子体同样地进行时间调制,通过与脉冲等离子体同步地重复导通与截止,从而能避免对等离子体截止期间的晶片的损害。
先行技术文献
专利文献
专利文献1:日本特开昭59-47733号公报
专利文献2:日本特表2003-532986号公报
发明要解决的课题
在利用了微波的ECR方式的脉冲等离子体中,一般将进行等离子体生成的微波脉冲化。作为微波的脉冲化的方式的一例,存在如下方式:对微波电源输入作为基准的脉冲信号,通过在电源内进行处理来输出脉冲状的微波。若基于脉冲状的微波的等离子体形成,则等离子体密度如图1所示变化。即,与现有的ECR方式的连续等离子体方式不同,等离子体密度与微波的导通一起增加,但至等离子体密度稳定为止要花时间。
另外,将用于等离子体生成的微波输出设为基于当前广泛使用的连续波形输出方式(以下称为CW模式)、且将高频偏置设为时间调制输出方式(以下称为脉冲模式)来对高频偏置的反射率进行测量的结果是,如图8所示,反射率成为了高频偏置输出值的5%以下。此外,若反射率为5%以下程度,则不会对工艺性能造成影响,对电源的损害也没有问题。另外,反射率(%)是对将高频偏置的反射电力除以高频偏置的输出电力后所得到的值乘以100而计算出的。
另一方面,在脉冲等离子体中,每个周期中存在至等离子体密度稳定为止的过渡期间,若施加使与脉冲等离子体同步的高频偏置,则基于导通与截止的重复,等离子体密度发生了变化,因此有时从高频偏置来看的等离子体阻抗发生变化,难以取得匹配。
在此情况下,如图2所示,产生反射电力变大且反射值也不稳定的现象。在反射电力值大的情况下,会产生对实际的晶片的施加电力与设定电力值之差变大的问题,不能进行高精度的控制。另外,通过使反射电力返回至电源,有时会对电源造成损害。在反射电力值不稳定的情况下,针对实际的晶片的施加电力也不稳定。在此情况下,有时蚀刻加工结果也变得不稳定。
作为解决该问题的方法,如图3所示,考虑在等离子体密度稳定后进行施加的方法。在该方法中,在未施加高频偏置的期间内有等离子体导通的期间,因此基于蚀刻的条件,有时在该期间内由等离子体生成的沉积物会沉积于晶片表面,从而产生引起蚀刻停止的问题。
对于脉冲等离子体的匹配的课题,在专利文献2中公开了如下方法:在从等离子体截止向导通切换的过渡的期间,使高频偏置的输出从低的输出起逐渐向高的输出变化的同时予以施加。但在该方法中也同样地基于蚀刻条件,在至等离子体密度稳定为止的过渡期间内高频偏置低,因此离子能量不足,存在引起因过渡期间的等离子体所生成的沉积物所致的蚀刻停止的可能性。与现有的等离子体方式不同,在脉冲等离子体方式中,匹配成为大的课题。
另外,如图2所示,将用于等离子体生成的微波输出设为脉冲模式,高频偏置也设为脉冲模式,对高频偏置的反射值进行测量的结果是,成为高频偏置的反射率超过5%且反射率不稳定的结果。原因在于,在等离子体生成以脉冲模式来进行的称为脉冲等离子体的方式下,如图2所示,在微波输出成为了导通后,存在至等离子体密度稳定为止花费时间的过渡期间。以下,使用图6来说明反射不稳定且变大的原因。
在匹配电路中,对电流或电压进行采样来计算等离子体阻抗,进行匹配。通过已采样的等离子体阻抗来决定可变电容的动作。关于脉冲等离子体,与现有的连续模式的等离子体不同,在采样中会含有等离子体密度不稳定的过渡期间。图3的A的定时是等离子体密度未稳定的过渡期间。该期间的等离子体阻抗Za与作为等离子体密度为其他的采样定时的B、C、D、E的等离子体阻抗Zb、Zc、Zf大为不同。
Zb、Zc、Zf的等离子体密度均稳定,作为等离子体阻抗而取接近的值。故而,在匹配电路中,想要对准Za的匹配位置这样的动作、与针对等离子体密度稳定期间的阻抗Zb、Zc、Zf的匹配动作混合存在,匹配动作不能正常,匹配电路不成为最适当的可变电容值,因此成为反射变大且不稳定的结果。
另外,通常,该期间的等离子体密度非常低,时间也短,因此对蚀刻性能的影响少。关于高频偏置,对于对蚀刻性能影响大的等离子体密度稳定的期间进行匹配为好。
在使用脉冲等离子体的情况下,与现有方式不同,需要考虑过渡期间的等离子体密度的变化。如图4所示,考虑过渡期间内不施加高频偏置的方法、或通过逐渐提升高频偏置输出来减小反射值的方法。在此情况下,过渡期间内基于高频偏置的离子加速电压变小。在等离子体的沉积性强的情况下,沉积物会沉积于样品表面,因此在离子加速电压小的情况下将引起蚀刻停止,存在引起合格率的下降或设备不良的可能性。
发明内容
本发明为了解决上述的课题,提供在对等离子体生成用高频电力和高频偏置电力进行时间调制的等离子体处理装置以及等离子体处理方法中能稳定地供应高频偏置电力的等离子体处理装置以及等离子体处理方法。
用于解决课题的手段
本发明提供一种等离子体处理装置,具备:真空容器;第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;样品台,其配置于所述真空容器内,用于载置样品;第二高频电源,其对所述样品台供应第二高频电力;以及匹配器,其抑制所述第二高频电力的反射电力;所述等离子体处理装置的特征在于,在对所述第一高频电力和所述第二高频电力进行时间调制的情况下,所述匹配器在采样有效期间内采样用于进行匹配的信息,该采样有效期间设为从以所述时间调制后的第二高频电力的导通开始时间作为开始时间的给定时间经过后起至所述时间调制后的第二高频电力的导通结束时间为止的期间,且从所述导通结束时间后起至下一采样有效期间为止,进行控制来维持在所述采样有效期间内所进行的匹配状态。
另外,本发明提供一种等离子体处理装置,具备:真空容器;第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;样品台,其配置于所述真空容器内,用于载置样品;第二高频电源,其对所述样品台供应第二高频电力;以及匹配器,其抑制所述第二高频电力的反射电力;所述等离子体处理装置的特征在于,在对所述第一高频电力和所述第二高频电力进行时间调制的情况下,所述匹配器在从所述时间调制后的第二高频电力的导通结束时间起至给定时间前为止的期间即采样有效期间内采样用于进行匹配的信息,且从所述导通结束时间后起至下一采样有效期间为止,进行控制来维持在所述采样有效期间内所进行的匹配状态。
另外,本发明提供一种利用了等离子体处理装置的等离子体处理方法,该等离子体处理装置具备:真空容器;第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;样品台,其配置于所述真空容器内,用于载置样品;第二高频电源,其对所述样品台供应第二高频电力;以及匹配器,其抑制所述第二高频电力的反射电力;所述等离子体处理方法的特征在于,对所述第一高频电力和所述第二高频电力进行时间调制,在从所述时间调制后的第二高频电力的导通结束时间起至给定时间前为止的期间即采样有效期间内采样用于进行匹配的信息,且从所述导通结束时间后起至下一采样有效期间为止,维持在所述采样有效期间内所进行的匹配状态,以进行所述匹配器的匹配。
发明效果
通过本发明,能在对等离子体生成用高频电力和高频偏置电力进行时间调制的等离子体处理装置以及等离子体处理方法中稳定地供应高频偏置电力。
附图说明
图1是对脉冲等离子体应用了连续波形的高频偏置的一例。
图2是对脉冲等离子体应用了经时间调制后的高频偏置的一例。
图3是表示高频偏置的匹配器的采样定时的图。
图4是表示等离子体密度未稳定的过渡期间的图。
图5是本发明所涉及的微波ECR等离子体蚀刻装置的纵剖面图。
图6是表示控制部120的动作的图。
图7是说明采样保持方式的图。
图8是对连续波输出等离子体应用了时间调制后的高频偏置的一例。
图9是表示通过等离子体密度来规定直至等离子体稳定为止的过渡期间的方法的图。
图10是表示等离子体密度、等离子体发光以及Vpp的各自的关系的图。
图11是表示本发明所涉及的高频偏置的匹配的图。
图12是表示在固定了死区时间的情况下的场景1至3的图。
图13是表示在固定了采样有效时间的情况下的场景1至3的图。
图14是表示将高频偏置的时间调制的频率设为了匹配器的采样频率的整数倍的情况的图。
图15是表示高频偏置的匹配器的动作的流程图。
具体实施方式
以下,参照附图来说明用于实施本发明的形态。本发明的一实施例所涉及的ECR方式的微波等离子体蚀刻装置的概略纵剖面图如图5所示。在开放了上部的真空容器101的上部,设置用于将蚀刻气体导入真空容器101内的石英制的淋浴盘102、以及石英制的电介质窗103,并通过密封来形成处理室104。
在淋浴盘102处连接用于流过蚀刻气体的气体供应装置105。另外,在真空容器101处经由排气用开闭阀117以及排气速度可变阀118而连接有真空排气装置106。在处理室104内,将排气用开闭阀117设为开,通过对真空排气装置106进行驱动而减压,成为真空状态。处理室104内的压力被排气速度可变阀118调整为期望的压力。
蚀刻气体从气体供应装置105经由淋浴盘102而被导入处理室104内,经由排气速度可变阀118而被真空排气装置106排出。另外,与淋浴盘102对置地,在真空容器101的下部设置作为样品台的样品载置用电极111。为了将用于生成等离子体的电力传输至处理室104,在电介质窗103的上方设置用于传输电磁波的波导管107。向波导管107传输的电磁波是从作为第一高频电源的电磁波产生用高频电源109振荡的。
在电磁波产生用高频电源109处安装有脉冲产生组件121,由此,如图2所示,能以任意可设定的重复频率将微波调制成脉冲状。此外,本实施例的效果不受电磁波的频率特别限定,但在本实施例中,使用2.45GHz的微波。在处理室104的外部,设置有用于形成磁场的磁场产生用线圈110,通过从电磁波产生用高频电源109振荡出的电磁波与由磁场产生用线圈110形成的磁场之间的相互作用,从而在处理室104内生成高密度等离子体,对配置于样品载置用电极111上的作为样品的晶片112实施蚀刻处理。
淋浴盘102、样品载置用电极111、磁场产生用线圈110、排气用开闭阀117、排气速度可变阀118、以及晶片112相对于处理室104的中心轴上而配置于同轴,因此通过蚀刻气体的流动或等离子体而生成的自由基以及离子、进而通过蚀刻而生成的反应生成物相对于晶片112而导入至同轴,且被排出。该同轴配置使蚀刻速率、蚀刻形状的晶片面内均匀性接近轴对称,有使晶片处理均匀性得以提高的效果。
样品载置用电极111的电极表面被陶瓷(未图示)覆盖,经由高频滤波器115而连接有直流电源116。进而,在样品载置用电极111处,经由匹配电路113来连接作为第二高频电源的高频偏置电源114。在高频偏置电源114处,安装有脉冲产生组件121,同样,能将如图2所示那样的经时间调制的高频电力选择性地供应至样品载置用电极111。此外,本实施例的效果不受高频偏置的频率特别限定,但在本实施例中使用400kHz的高频。
对利用了上述的ECR微波等离子体蚀刻装置的蚀刻处理进行控制的控制部120通过输入单元(未图示)来对电磁波产生用高频电源109、高频偏置电源114、包含脉冲产生组件121的脉冲的导通/截止的定时在内的重复频率或占空比、用于实施蚀刻的气体流量、处理压力、电磁波电力、高频偏置电力、线圈电流、脉冲的导通时间、截止时间等的蚀刻参数进行控制。此外,占空比是指,导通期间相对于脉冲的1周期的比例。另外,在本实施例中,脉冲的重复频率能变更到5Hz~10kHz,占空比能变更到1%~90%。进而,时间调制的设定以导通时间、截止时间均可。
以下,使用图6来说明在从电磁波产生用高频电源109产生进行了时间调制的电磁波的情况下、以及从高频偏置电源114向样品载置用电极111供应进行了时间调制后的高频电力的情况下的控制部120的功能。控制部120对脉冲产生组件121设定以下内容:用于将电磁波产生用高频电源109和高频偏置电源114调制成脉冲状的重复频率、占空比、将电磁波产生用高频电源109的导通的定时与高频偏置电源114的导通的定时配合起来后的时间信息。
从脉冲产生组件发送用于电磁波产生用电源的脉冲输出控制的时间信息,使经时间控制的电磁波产生。同样,高频偏置电源114也基于从脉冲产生组件发送的信息来使经时间控制的高频偏置输出产生。
在应用高频偏置的情况下,匹配器113以图15所示那样的流程来取高频偏置的匹配,使反射电力减少。作为匹配的手法,由匹配电路对电流和电压以及相位进行测量。根据测量值来计算等离子体的阻抗,将匹配电路内的可变电容进行调整以成为最适当的电路常数。此外,匹配电路取得等离子体阻抗的测量值,并基于所取得的阻抗的测量值来变更可变电容,将进行匹配动作的周期设为采样周期。
采样周期能通过设定进行变更。在本实施例中,可变电容是通过脉冲电动机而使电容被控制,因此即使将采样周期设定得比脉冲电动机的可动作的最小时间更短,脉冲电动机也不能动作。故而,通常,关于采样周期的设定,设定得比脉冲电动机的可动作的最小时间更长。作为一例,针对将高频偏置的脉冲频率设为100Hz、占空比设为50%、采样周期设为12ms的情况进行说明。
设不规定采样的开始定时而进行采样。如图3所示的定时D或E所示,有时成为在截止期间内进行采样的定时。由于截止期间内不施加高频偏置,因此不能进行电压的测量,匹配电路中从高频偏置来看的等离子体阻抗变得不能计算,因此不能得到匹配动作所需的等离子体阻抗值,从而成为不能匹配的状态。为了解决该问题,能通过称为采样保持方式的以下所示的方法来解决。
在导通期间内采样周期的定时来到的情况下,即图7的A、B、C那样的情况下,将各自的定时下的等离子体阻抗用于匹配的指标。此外,在此的A、B、C与图3的A、B、C的定时相同。然而,在图7的D、E那样的截止的期间内采样的定时来到的情况下,将替用导通期间的某时间的等离子体阻抗。此外,在此的D、E与图3的D、E的定时相同。
另外,在本实施例中,计算导通期间刚结束前的定时、图7的F的定时下的等离子体阻抗即Zf,并将该值保持到下一导通开始定时为止。也就是,如图7所示,截止期间进行设为与导通期间的某定时下的等离子体阻抗相等的处理。如此,通过采样保持方式,能防止在截止期间内取得异常值来作为等离子体阻抗。另外,匹配器113具有这样的采样保持方式的功能。
接下来,说明使对至等离子体密度稳定为止的过渡期间的匹配动作造成的影响减小的匹配器113的算法。该算法是不取得与从导通期间的开始起一定时间的期间的等离子体阻抗相关的信息的算法。作为一例,说明将脉冲等离子体的等离子体生成频率设为100Hz、占空比设为50%、采样周期设为12ms的情况。另外,在本实施例中,使用图9来说明至等离子体密度的稳定为止的过渡期间的定义。
取等离子体密度的时间变化的一阶差分。如图9所示,在没有等离子体密度的变化而稳定了的情况下,一阶差分值成为0。还有基于条件而密度虽呈现得很少但也缓慢地持续变化的情况,因此不将至稳定为止的过渡期间的判定基准设为0。在本实施例中,对于一阶差分的峰值,在一阶差分值变为了70%以下时判断为:至稳定为止的过渡期间结束,稳定期间开始了。
在本实施例中,将判定的阈值设为了峰值的70%,但阈值也可以根据条件而变化。尽管根据条件而不同,但通常至脉冲等离子体的等离子体密度稳定为止的过渡时间是1μs~100ms程度。作为对在脉冲等离子体中至等离子体密度稳定为止的过渡期间进行估计的方法,可以使用对高频偏置的峰峰值即Vpp或等离子体发光的变化进行测量的手法。图10示出等离子体密度、等离子体发光和Vpp的变化。
为了使等离子体中的粒子发光,需要使具有能量的电子与粒子碰撞,对粒子给予相当于发光的能量。被给予了能量的粒子将其能量以光的形式释放。若等离子体密度变化,则具有能量的电子的密度也同样地变化,因此来自等离子体的发光的变化与等离子体密度的变化存在相关。由此,能将至等离子体发光稳定为止的时间替用为至等离子体密度稳定为止的时间。
另外,若等离子体密度变化,则从高频偏置来看的Vpp变化。这是由于,从高频偏置来看的阻抗根据等离子体密度而变化。由此,Vpp的变化也与等离子体密度的变化存在相关。还存在以朗缪尔探针等来直接观察等离子体密度的变化的方法。朗缪尔探针等需要设置于真空处理室(以下称为反应室(chamber))的情况下,硬件变得复杂。
另外,由于需要设置于反应室的内部,因此存在对等离子体造成影响的可能性。关于Vpp测量、等离子体发光,无需在反应室内设置检测单元,对等离子体的影响少。在本实施例中,对Vpp的变化,与至前述的等离子体密度的稳定为止的过渡期间的判定同样地,以使用一阶差分的方法来进行。如图10所示,相对于Vpp的一阶差分的峰值的绝对值,将一阶差分值的绝对值成为90%的时间设为了等离子体密度稳定的时间。
基于该方法,将至等离子体密度稳定为止的时间估计为2ms以下程度,且仅取得从高频偏置的导通开始起2ms以后的等离子体阻抗值。以下,将从高频偏置的导通开始起不取得等离子体阻抗信息的期间设为死区时间。如图11所示,在匹配器113的采样周期的定时成为了死区时间内的情况下,使用在采样保持中使用的导通结束时的值,即Zf。在为不使用现有的死区时间的方式的情况下,如图2所示,成为高频偏置的反射值超过反射率5%、且反射值的波动变大这样的结果,但通过进行使用了本发明的死区时间的匹配,从而能减小反射,且稳定地施加高频偏置,故反射率能成为1%以下。
在本实施例中,以等离子体生成的高频偏置的导通开始时间为基准来设定死区时间,但也可以以等离子体的导通时间为基准。另外,如前述所示,通过对等离子体发光进行监测,能测量等离子体的导通以及截止的定时,因此可以是在从等离子体发光的导通的定时起死区时间的经过后取得等离子体阻抗的信息的方法。
该方法在等离子体生成用高频电源的导通/截止和等离子体的导通/截止之间存在延迟时间的情况下有效。还可以是,不仅对等离子体的发光,还对与脉冲等离子体的导通/截止联动的其他的参数,例如前述的Vpp进行监测,作为死区时间的基准的方法。是从Vpp稳定了的定时起来设定死区时间的方法。
通常,在脉冲等离子体方式下,成为能变更等离子体生成的高频的时间调制频率(以下称为脉冲频率)或占空比的硬件构成。这是由于,为了对蚀刻性能进行控制而进行脉冲频率或占空比的控制是有效的。在以上述的高频偏置的导通开始的定时为基准来设置死区时间的方法的情况下,如图12的场景1~3所示,通过改变占空比或脉冲频率,从而采样有效时间不同。在此,采样有效时间是指能取得阻抗的测量值的期间。
另外,还有如下情况:通过根据蚀刻条件或等离子体的状态来改变占空比或脉冲频率,从而等离子体密度的稳定时间较大地变化。在此情况下,根据蚀刻条件不同,所设定的死区时间变得比等离子体密度的稳定时间更短。在场景1中匹配没有问题,但在场景2以及场景3中会产生反射变大、反射值不稳定等问题。故而,必须根据蚀刻条件来变更死区时间设定值,在蚀刻条件由多个步骤组成的情况下,死区时间的设定或基于软件的对应变得复杂。
为了解决该课题,仅在将图13所示那样的匹配器113的采样有效时间设为恒定的、从高频偏置的导通期间的结束起一定的期间内,取得等离子体阻抗的测量值,而在其他的时间使用采样保持值的方法是有效的。以下,说明该手法。
以高频偏置的截止的定时为基准来设定死区时间,以使匹配器113的采样有效时间成为恒定。最初,根据高频偏置的脉冲频率和占空比来求取高频偏置的导通期间。接着,将从高频偏置的导通期间中减去了匹配器113的采样有效时间后的值设为死区时间。通过这样的死区时间的求取方法,能与高频偏置的脉冲频率以及占空比等无关地将匹配器113的采样有效时间设为恒定。此外,匹配器113的采样有效时间是预先求取的期望的值。另外,除了匹配器113的采样有效时间以外,不取得等离子体阻抗的测量值而使用在匹配器113的采样有效时间的最后所取得的等离子体阻抗的测量值来进行匹配,即设为所谓的上述的采样保持的状态。
另外,应用高频偏置以便与等离子体密度稳定期间重合的情况较多,因此,通常在高频偏置的截止的定时刚刚之前,较之于高频偏置的导通的定时刚刚之后,等离子体密度更稳定。故而,存在以高频偏置的截止的定时为基准来设定匹配器113的采样有效时间的方式为有效的情况。接下来,针对不使用采样保持的功能而对脉冲放电的情况下的高频偏置的反射值的波动进行抑制的单元,以下参照图14来进行说明。
说明高频偏置的脉冲频率为100Hz且占空比为50%的情况。如图14所示,在至等离子体密度稳定为止的过渡期间以后,设定延迟时间以使匹配器113的采样的定时到来。另外,将高频偏置的脉冲频率设为匹配器113的采样频率的整数倍。在图14的例子中,将匹配器113的采样的周期设为了高频偏置的脉冲的周期的2倍,即20ms。
通过如此设定延迟时间来将等离子体密度稳定的期间设为匹配器113的采样期间、且将高频偏置的脉冲频率设为匹配器113的采样频率的整数倍,从而能在不使用采样保持方式的前提下抑制脉冲放电的情况下的高频偏置的反射值的波动。
另外,在高频偏置的脉冲的周期或占空比变化的情况下,通过变更延迟时间,能使得在至等离子体密度稳定为止的过渡期内不进行匹配器113的采样。进而,将高频偏置的脉冲频率设为匹配器113的采样频率的整数倍不限于该情况,还可以用于设置了上述的死区时间的单元。
以上,上述的本实施例中说明的本发明作为匹配器113的控制来执行。或者,匹配器113将上述的本实施例中说明的本发明作为算法来具有。或者,控制部120可以对匹配器113进行控制,以进行上述的本实施例中说明的本发明。
另外,尽管在上述的本实施例中使用高频偏置的脉冲频率和占空比作为了时间控制的参数,但也可以使用导通时间以及截止时间等。另外,尽管在上述的本实施例中将等离子体阻抗设为了用于由匹配电路进行匹配的信息,但只要是电流、电压、相位等的与匹配相关的信息,就将得到同样的效果。
进而,尽管在上述的本实施例中说明了使用微波ECR等离子体源的情况,但在电容耦合型等离子体源或感应耦合型等离子体源等的其他的等离子体生成方式下的等离子体处理装置中也将得到同样的效果。
符号说明:
101  真空容器
102  淋浴盘
103  电介质窗
104  处理室
105  气体供应装置
106  真空排气装置
107  波导管
109  电磁波产生用高频电源
110  磁场产生用线圈
111  样品载置用电极
112  晶片
113  匹配器
114  高频偏置电源
115  高频滤波器
116  直流电源
117  排气用开闭阀
118  排气速度可变阀
120  控制部
121  脉冲产生组件

Claims (8)

1.一种等离子体处理装置,具备:
真空容器;
第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;
样品台,其配置于所述真空容器内,用于载置样品;
第二高频电源,其对所述样品台供应第二高频电力;以及
匹配器,其抑制所述第二高频电力的反射电力,
所述等离子体处理装置的特征在于,
在对所述第一高频电力和所述第二高频电力进行时间调制的情况下,所述匹配器在采样有效期间内采样用于进行匹配的信息,该采样有效期间设为从以所述时间调制后的第二高频电力的导通开始时间作为开始时间的给定时间经过后起至所述时间调制后的第二高频电力的导通结束时间为止的期间,且从所述导通结束时间后起至下一采样有效期间为止,进行控制来维持在所述采样有效期间内所进行的匹配状态。
2.根据权利要求1所述的等离子体处理装置,其特征在于,
将所述给定时间设为所述第二高频电力的峰峰值即Vpp稳定所需的时间。
3.根据权利要求1所述的等离子体处理装置,其特征在于,
将所述给定时间设为所述等离子体的发光强度稳定所需的时间。
4.根据权利要求1所述的等离子体处理装置,其特征在于,
将所述给定时间设为等离子体密度稳定所需的时间。
5.根据权利要求1所述的等离子体处理装置,其特征在于,
将对所述第二高频电力进行时间调制的频率设为所述匹配器的采样频率的整数倍。
6.一种等离子体处理装置,具备:
真空容器;
第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;
样品台,其配置于所述真空容器内,用于载置样品;
第二高频电源,其对所述样品台供应第二高频电力;以及
匹配器,其抑制所述第二高频电力的反射电力,
所述等离子体处理装置的特征在于,
在对所述第一高频电力和所述第二高频电力进行时间调制的情况下,所述匹配器在从所述时间调制后的第二高频电力的导通结束时间起至给定时间前为止的期间、即采样有效期间内采样用于进行匹配的信息,且从所述导通结束时间后起至下一采样有效期间为止,进行控制来维持在所述采样有效期间内所进行的匹配状态。
7.根据权利要求6所述的等离子体处理装置,其特征在于,
将对所述第二高频电力进行时间调制的频率设为所述匹配器的采样频率的整数倍。
8.一种利用了等离子体处理装置的等离子体处理方法,该等离子体处理装置具备:
真空容器;
第一高频电源,其供应用于在所述真空容器内生成等离子体的第一高频电力;
样品台,其配置于所述真空容器内,用于载置样品;
第二高频电源,其对所述样品台供应第二高频电力;以及
匹配器,其抑制所述第二高频电力的反射电力,
所述等离子体处理方法的特征在于,
对所述第一高频电力和所述第二高频电力进行时间调制,
在从所述时间调制后的第二高频电力的导通结束时间起至给定时间前为止的期间、即采样有效期间内采样用于进行匹配的信息,且从所述导通结束时间后起至下一采样有效期间为止,维持在所述采样有效期间内所进行的匹配状态,以进行所述匹配器的匹配。
CN201410030630.3A 2013-06-06 2014-01-22 等离子体处理装置及等离子体处理方法 Active CN104241071B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-119396 2013-06-06
JP2013119396A JP6180799B2 (ja) 2013-06-06 2013-06-06 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN104241071A true CN104241071A (zh) 2014-12-24
CN104241071B CN104241071B (zh) 2017-03-01

Family

ID=52005800

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410030630.3A Active CN104241071B (zh) 2013-06-06 2014-01-22 等离子体处理装置及等离子体处理方法

Country Status (5)

Country Link
US (3) US9336999B2 (zh)
JP (1) JP6180799B2 (zh)
KR (2) KR101589168B1 (zh)
CN (1) CN104241071B (zh)
TW (1) TWI492262B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105990088A (zh) * 2015-03-23 2016-10-05 东京毅力科创株式会社 电源系统、等离子体处理装置和电源控制方法
CN107393799A (zh) * 2016-05-12 2017-11-24 朗姆研究公司 控制在连续波和脉冲等离子体之间转换的方法和装置
CN109755091A (zh) * 2017-11-01 2019-05-14 东京毅力科创株式会社 等离子体处理装置
CN110534392A (zh) * 2018-05-25 2019-12-03 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
CN112424911A (zh) * 2019-06-20 2021-02-26 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法
CN113543876A (zh) * 2019-03-01 2021-10-22 国立大学法人金泽大学 微粒子的制造装置以及微粒子的制造方法

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6512975B2 (ja) * 2015-07-16 2019-05-15 東京エレクトロン株式会社 エッチング終点検出方法及びプラズマ処理装置の制御装置
JP6602581B2 (ja) * 2015-07-17 2019-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6523989B2 (ja) * 2016-02-19 2019-06-05 株式会社日立ハイテクノロジーズ プラズマ処理方法及びプラズマ処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP2017212361A (ja) * 2016-05-26 2017-11-30 東京エレクトロン株式会社 プラズマ処理装置及びパーティクル付着抑制方法
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111788654B (zh) * 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111270224B (zh) * 2018-12-04 2022-06-28 财团法人金属工业研究发展中心 化学气相沉积设备及用于该设备的方法和功率补偿模块
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
JP7140853B2 (ja) * 2020-01-30 2022-09-21 株式会社日立ハイテク プラズマ処理装置、およびプラズマ処理方法
WO2021261228A1 (ja) 2020-06-23 2021-12-30 株式会社デンソー 障害物情報管理装置、障害物情報管理方法、車両用装置
JP2023010234A (ja) * 2021-07-09 2023-01-20 ソニーセミコンダクタソリューションズ株式会社 半導体装置の製造方法及び成膜装置
US12002663B2 (en) * 2021-07-16 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Processing apparatus and method for forming semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101523569A (zh) * 2006-10-06 2009-09-02 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947733B2 (ja) * 1980-07-31 1984-11-21 株式会社クラレ ポリエステル繊維の製造法
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
US5733820A (en) * 1995-04-27 1998-03-31 Sharp Kabushiki Kaisha Dry etching method
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP2000150478A (ja) * 1998-11-12 2000-05-30 Matsushita Electronics Industry Corp プラズマ発生方法及びプラズマ発生装置
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4796372B2 (ja) * 2005-10-20 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5377993B2 (ja) * 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5898882B2 (ja) * 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101523569A (zh) * 2006-10-06 2009-09-02 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
US20090295296A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power rf generator
US20090298287A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105990088A (zh) * 2015-03-23 2016-10-05 东京毅力科创株式会社 电源系统、等离子体处理装置和电源控制方法
US10056230B2 (en) 2015-03-23 2018-08-21 Tokyo Electron Limited Power supply system, plasma processing apparatus and power supply control method
TWI700018B (zh) * 2015-03-23 2020-07-21 日商東京威力科創股份有限公司 電源系統、電漿處理裝置及電源控制方法
CN107393799A (zh) * 2016-05-12 2017-11-24 朗姆研究公司 控制在连续波和脉冲等离子体之间转换的方法和装置
CN109755091A (zh) * 2017-11-01 2019-05-14 东京毅力科创株式会社 等离子体处理装置
CN109755091B (zh) * 2017-11-01 2021-06-25 东京毅力科创株式会社 等离子体处理装置
CN110534392A (zh) * 2018-05-25 2019-12-03 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
CN110534392B (zh) * 2018-05-25 2022-04-22 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
CN113543876A (zh) * 2019-03-01 2021-10-22 国立大学法人金泽大学 微粒子的制造装置以及微粒子的制造方法
CN113543876B (zh) * 2019-03-01 2023-06-20 国立大学法人金泽大学 微粒子的制造装置以及微粒子的制造方法
CN112424911A (zh) * 2019-06-20 2021-02-26 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法
CN112424911B (zh) * 2019-06-20 2023-09-22 株式会社日立高新技术 等离子体处理装置以及等离子体处理方法

Also Published As

Publication number Publication date
KR20140143316A (ko) 2014-12-16
US10192718B2 (en) 2019-01-29
US20160233057A1 (en) 2016-08-11
KR101589168B1 (ko) 2016-01-27
US20140363977A1 (en) 2014-12-11
KR20160011221A (ko) 2016-01-29
CN104241071B (zh) 2017-03-01
JP6180799B2 (ja) 2017-08-16
US11004658B2 (en) 2021-05-11
US9336999B2 (en) 2016-05-10
KR101819922B1 (ko) 2018-01-18
US20180337022A1 (en) 2018-11-22
JP2014239091A (ja) 2014-12-18
TWI492262B (zh) 2015-07-11
TW201447959A (zh) 2014-12-16

Similar Documents

Publication Publication Date Title
CN104241071A (zh) 等离子体处理装置及等离子体处理方法
KR102279088B1 (ko) 플라즈마 처리 장치
US10037868B2 (en) Plasma processing apparatus
US8969211B2 (en) Method and apparatus for plasma processing
CN111886935A (zh) 控制方法和等离子体处理装置
TW202305935A (zh) 電漿處理裝置、處理器、控制方法、非暫時性電腦可讀記錄媒體及程式
TWI500066B (zh) Plasma processing device
KR102011529B1 (ko) 플라즈마 처리 챔버 내에서의 동기화되고 단축된 마스터 슬레이브 rf 펄싱
US20180341625A1 (en) Data processing method, data processing apparatus and processing apparatus
JP6298867B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP2015115564A (ja) プラズマ処理装置及びプラズマ処理方法
JP6976228B2 (ja) プラズマ処理装置
US12009180B2 (en) Plasma processing apparatus
JP2016076718A (ja) プラズマ処理装置
TW202249059A (zh) 電漿處理系統的整合控制

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant