WO2019099937A1 - Improved application of modulating supplies in a plasma processing system - Google Patents

Improved application of modulating supplies in a plasma processing system Download PDF

Info

Publication number
WO2019099937A1
WO2019099937A1 PCT/US2018/061671 US2018061671W WO2019099937A1 WO 2019099937 A1 WO2019099937 A1 WO 2019099937A1 US 2018061671 W US2018061671 W US 2018061671W WO 2019099937 A1 WO2019099937 A1 WO 2019099937A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
waveform
repetition period
plasma processing
processing system
Prior art date
Application number
PCT/US2018/061671
Other languages
English (en)
French (fr)
Inventor
Gideon Van Zyl
Kevin Fairbairn
Denis Shaw
Original Assignee
Advanced Energy Industries, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Energy Industries, Inc. filed Critical Advanced Energy Industries, Inc.
Priority to JP2020545048A priority Critical patent/JP2021503702A/ja
Priority to EP18878531.5A priority patent/EP3711082A4/en
Priority to KR1020207017361A priority patent/KR20200100643A/ko
Priority to CN201880086789.3A priority patent/CN111788654B/zh
Publication of WO2019099937A1 publication Critical patent/WO2019099937A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present disclosure relates generally to plasma processing.
  • the present disclosure relates to interoperation of equipment coupled to a plasma processing system.
  • Plasma processing systems for etching and deposition have been utilized for decades, but advancements in processing techniques and equipment technologies continue to create increasingly more complex systems. At the same time, the decreasing dimensions of structures created with workpieces requires increasingly precise control and interoperation of plasma processing equipment. Current control methodologies and associated systems are not capable of addressing several issues that are associated with the complex systems of today and tomorrow; thus, there is a need for new and improved control over disparate, yet interdependent, plasma processing equipment.
  • a plasma processing system includes at least one modulating supply that modulates plasma properties where the modulation of the plasma properties has a repetition period, T.
  • the plasma processing system includes a synchronization module configured to send a synchronization signal with a synchronization-signal-repetition-period, which is an integer multiple of T, to at least one piece of equipment connected to the plasma processing system.
  • the plasma processing system also includes a waveform communication module configured to communicate characteristics of a characterized waveform with the repetition period T to least one piece of equipment connected to the plasma system to enable synchronization of pieces of equipment connected to the plasma processing system where the characterized waveform with the repetition period T contains at least one of information about the modulation of the plasma or information about a desired waveform of a piece of equipment connected to the plasma processing system.
  • Another aspect may be characterized as a control method for a plasma processing system.
  • the method includes modulating plasma properties with a modulating supply where the modulation of the plasma properties has a repetition period, T.
  • the method also includes characterizing a waveform with a repetition period, T, containing at least one of information about the modulation of the plasma or a desired waveform of a piece of equipment connected to the plasma processing system to produce a waveform dataset.
  • the waveform dataset is sent to at least one piece of equipment connected to the plasma system, and the synchronization signal with a synchronization-signal-repetition-period that is an integer multiple of T to the at least one piece of equipment connected to the plasma system.
  • Yet another aspect may be characterized as a plasma processing control system that includes a waveform-characterization module configured to generate a waveform dataset for an output waveform of a piece of equipment connected to a plasma system.
  • a waveform-repetition module is included to determine a repetition period, T, for a piece of equipment connected to the plasma system, and a waveform-communication module is configured to communicate the waveform data set to at least one of the piece of equipment or another piece of equipment connected to the plasma system.
  • the plasma processing system also includes a waveform communication module and a synchronization module.
  • the waveform communication module is configured to communicate the waveform dataset to at least one of the piece of equipment or another piece of equipment connected to the plasma system, and the synchronization module is configured to send a synchronization pulse with a synchronization pulse repetition period that is an integer multiple of T to a piece of equipment connected to the plasma system.
  • FIG. 1 depicts an embodiment of a plasma processing system designed to achieve control over plasma properties.
  • FIG. 2 depicts another embodiment of plasma processing system designed to achieve control over plasma properties using a remote plasma source rather than a source generator or source generators.
  • FIG. 3 depicts yet another embodiment of a plasma processing system designed to achieve control over plasma properties using a remote plasma source and an integrated bias power delivery system.
  • FIG. 4 depicts a plasma processing system that includes a bias supply.
  • FIG. 5 depicts another implementation of a plasma processing system incorporating multiple bias supplies.
  • FIG. 6 is a diagram depicting aspects of an exemplary bias supply.
  • FIG. 7 includes a graph of a voltage waveform output from a bias supply; a graph of a corresponding sheath voltage; and a corresponding switch-timing diagram.
  • FIG. 8A depicts an implementation using two voltage sources to provide voltages to the bias supply depicted in FIG. 11;
  • FIG. 8B depicts another implementation using two voltage sources to provide voltages to the bias supply depicted in FIG. 11.
  • FIG. 8C depicts yet another implementation using two voltage sources to provide voltages to the bias supply depicted in FIG. 11.
  • FIG. 9A depicts an implementation using three voltage sources to provide voltages to the bias supply depicted in FIG. 11.
  • FIG. 9B depicts another implementation using three voltage sources to provide voltages to the bias supply depicted in FIG. 11.
  • FIG. 9C depicts yet another implementation using three voltage sources to provide voltages to the bias supply depicted in FIG. 11.
  • FIG. 10 is a block diagram depicting a synchronization control component.
  • FIG. 11 is a method that may be traversed using the synchronization control component.
  • FIG. 12 depicts aspects of synchronizing a modulating supply with other equipment connected to plasma processing system.
  • FIG. 13 is a flowchart depicting an exemplary method that may be executed from a master device
  • FIG. 14 is a flowchart depicting an exemplary method that may be executed by a slave device
  • FIG. 15 is a block diagram depicting components that may be utilized to implement control aspects disclosed herein.
  • implementations can include any substrate processing within a plasma chamber.
  • objects other than a substrate can be processed using the systems, methods, and apparatus herein disclosed.
  • this disclosure applies to plasma processing of any object within a sub-atmospheric plasma processing chamber to effect a surface change, subsurface change, deposition or removal by physical or chemical means.
  • This disclosure may utilize plasma processing and substrate biasing techniques as disclosed in US9287092, US9287086, US9435029, US9309594, US9767988, US9362089, US9105447, US9685297, US9210790.
  • the entirety of these applications is incorporated herein by reference. But it should be recognized that the reference in this specification to any prior publication (or information derived from it), or to any matter which is known, is not an acknowledgment or admission or any form of suggestion that the prior publication (or information derived from it) or known matter is conventional, routine, or forms part of the common general knowledge in the field of endeavor to which this specification relates.
  • source generators are those whose energy is primarily directed to generating and sustaining the plasma
  • bias supplies are those whose energy is primarily directed to generating a surface potential for attracting ions and electrons from the plasma.
  • FIG. 1 shows an embodiment of a plasma processing system with many pieces of equipment coupled directly and indirectly to plasma chamber 101, which contains a plasma 102.
  • the equipment includes vacuum handling and gas delivery equipment 106, bias generators 108, a bias matching network 110, bias measurement and diagnostics 111, source generators 112, a source matching network 113, source measurement and diagnostics 114, measurement and diagnostics 115, and a system controller 116.
  • the embodiment in FIG. 1, and other embodiments described herein, are exemplary of the complexity of plasma processing systems, and the depiction of plasma systems herein helps to convey the interrelations of the equipment coupled to the plasma chamber 101.
  • modulating supplies e.g., source generators 112, bias generators 108, and other modulating supplies discussed further herein
  • modulating supplies can cause strong modulation of plasma properties such as the impedance presented by the plasma 102 to equipment of the plasma processing system 100.
  • Plasma modulation can also cause aliasing of measurements of plasma properties. Additional details about the effects of modulation of plasma properties are discussed further herein.
  • FIG. 1 Shown in FIG. 1 is a plasma processing system 100 (e.g., deposition or etch system) containing a plasma chamber 101 within which a workpiece (e.g., a wafer) 103 is contained.
  • a number of bias electrodes 104 are connected through the bias measurement and diagnostic system 111 to the bias match network 110 to which a number of bias generators 108 are connected.
  • the bias electrodes 104 may be built into an electrostatic chuck to hold the workpiece 103 in place. This may involve integration of a high voltage DC power supply 107 into the system. In many applications, a single bias electrode 104 is used, but utilization of many bias electrodes 104 may be used to achieve a desired spatial control.
  • the bias generators 108 depicted in FIG. 1 may be lower frequency (e.g., 400 kHz to 13.56 MHz) RF generators that apply a sinusoidal waveform. Also shown is a set of source electrodes 105 connected to a number of source generators 112 through the source measurement and diagnostics system 114 and source matching network 113. In many applications, power from a single source generator 112 is connected to one or multiple source electrodes 105.
  • the source generators 112 may be higher frequency RF generators (e.g. 13.56 MHz to 120 MHz). Vacuum maintenance, gas delivery and wafer handling equipment 106 may be implemented to complete the system and optionally additional measurement and diagnostic equipment 115 may be present (e.g. optical spectroscopy equipment).
  • the system controller 116 in the embodiment of FIG. 1 controls the entire system through a system control bus 117.
  • the system control bus 117 can also be used to collect information from equipment of the plasma processing system.
  • inter-systemcommunication 118 which can be used, for example, to control the source matching network 113 from a source generator 112 or exchange information between subsystems without involving the system control bus 117.
  • a single source generator 112 is common, it is also common to have multiple source generators 112 and multiple bias generators 108 in order to achieve a desired plasma density and desired control over the distribution of ion energies.
  • One or more of the source generators 112 and/or bias generators 108 can modulate the plasma properties and be considered as a modulating supply.
  • FIG. 2 shows an embodiment of a plasma processing system 200 where the source generators 112 are replaced by a remote plasma source 205.
  • the remote plasma source 205 may include an excitation source (e.g., an RF generator) and a plasma-generation chamber configured and disposed to produce a plasma that is provided to the plasma chamber 101.
  • the remote plasma source 205 is outside of the plasma chamber 101, the remote plasma source 205 may be coupled to the plasma chamber 101 to form a contiguous volume with the plasma chamber
  • the remote plasma source 205 may modulate plasma properties of the plasma 102 in the plasma chamber 101. And if the remote plasma source 205 does modulate the plasma properties of the plasma
  • the remote plasma source 205 and/or one or more of the bias generators 108 can be considered as a modulating supply.
  • FIG. 3 shows another embodiment of a plasma processing system where multiple bias generators are replaced by an integrated bias power delivery system 308.
  • Such integration can reduce system complexity and reduce duplication by, for example, using common DC power supplies for the RF generators, a common controller, auxiliary power supplies, measurement systems etc., but the output to the plasma chamber 101 is still a combination of a single or multiple RF frequencies and/or a DC signal.
  • Many other variations exist such as, for example, using a source generator and integrated bias power delivery system or using integrated source and bias power delivery systems etc.
  • FIG. 4 shown is yet another embodiment of a plasma processing system that utilizes a bias supply 408 (instead of bias generators 108) for an even tighter control over the distribution of ion energies.
  • the bias supply 408 may apply a periodic waveform to several different electrodes 104, or alternatively, a separate bias supply 408 may be coupled to each electrode 104 (not shown in FIG. 4).
  • FIG. 5 it is contemplated that multiple bias supplies 508 may be utilized in connection with multiple generators 109. It should be recognized that the embodiments described with reference to FIGS. 1-5 are not mutually exclusive and that various combinations of the depicted equipment may be employed.
  • FIG. 6 shown is a general representation of an exemplary bias supply 608 that may be used to realize the bias supplies 408, 508.
  • the bias supply 608 utilizes three voltages VI, V2, and V3. Because the output, Vout, is capacitively coupled through Cchuck, it is generally not necessary to control the DC level of Vout and the three voltages can be reduced to two by choosing one of VI, V2 or V3 to be ground (0V).
  • a separate chucking supply 107 may be used so it is not necessary to control the DC level of Vout. If a separate chucking supply is not used, all three voltages can be controlled to control the DC level of Vout.
  • the two switches S l, and S2 may be controlled by a switch controller via electrical or optical connection to enable the switch controller to open and close the switches, S l, S2, as disclosed below.
  • the depicted switches S 1, S2 may be realized by single pole, single throw switches, and as a non-limiting example, the switches S l, S2 may be realized by silicon carbide metal-oxide semiconductor field-effect transistors (SiC MOSFETs).
  • the voltages VI, V2, and V3 may be DC-sourced voltages.
  • the first switch, S l is disposed to switchably connect the first voltage, VI, to the output, Vout, through and inductive element
  • the second switch, S2 is disposed to switchably couple the second voltage, V2, to the output, Vout, through an inductive element.
  • the two switches connect to a common node, 670, and a common inductive element, Ll, is disposed between the common node and an output node, Vout.
  • Other arrangements of the inductive elements are possible. For example, there may be two separate inductive elements with one inductive element connecting S l to Vout and another connecting S2 to Vout. In another example one inductive element may connect S l to S2 and another inductive element may connect either S l or S2 to Vout.
  • FIG. 7 depicts: 1) the voltage waveform of the bias supply 608 that is output at Vout; 2) a corresponding sheath voltage; and 3) corresponding switch positions of switches S l and S2.
  • the first switch, S l is closed momentarily to increase, along a first portion 760 of the voltage waveform (between voltage V0 and Va) a level of the voltage at the output node, Vout, to a first voltage level, Va.
  • the level Va is maintained along a second portion 762 of the waveform.
  • the second switch, S2 is then closed momentarily to decrease, along a third portion 764 of the waveform, the level of the voltage waveform at the output node, Vout, to a second voltage level, Vb.
  • S l and S2 are open except for short periods of time.
  • the negative voltage swing along the third portion 764 affects the sheath voltage (Vsheath); thus, a magnitude of Va-Vb may be controlled to affect the sheath voltage.
  • the third voltage, V3, is applied to the output node, Vout, through a second inductive element L2 to further decrease a level of the voltage at the output node along a fourth portion 766 of the voltage waveform.
  • the negative voltage ramp along the fourth portion 766 may be established to maintain the sheath voltage by compensating for ions that impact the substrate.
  • S l momentarily connects and then disconnects the first voltage, VI, to the output, Vout, through the first inductive element Ll , and after a period of time, S2 connects and then disconnects the second voltage (e.g., ground) to the output, Vout, through the first inductive element Ll.
  • the third voltage, V3, is coupled to the output, Vout, through a second inductive element L2.
  • the first voltage, VI may be higher than the third voltage V3, and the momentary connection and disconnection of the first voltage, VI, to the output Vout causes the voltage of the output, Vout, to increase along the first portion 760 of the voltage waveform to a first voltage level, Va, and the first voltage level, Va, is sustained along the second portion of the waveform 762.
  • the first voltage level Va may be above the first voltage, VI, and the second voltage, V2, (e.g., ground) may be less than the first voltage level, Va.
  • the momentary connecting and then disconnecting of the second voltage, V2 causes the voltage of the output, Vout, to decrease at the third portion 764 to the second voltage level Vb that is below the second voltage, V2 (e.g., ground).
  • these voltages are merely exemplary to provide context to relative magnitude and polarities of the voltages described with reference to FIGS. 6 and 7.
  • FIGS. 8A-8C shown are possible arrangements of two DC voltage sources to provide the voltages VI, V2, and V3 depicted in FIG. 6.
  • V2 is grounded and forms a common node between the two DC voltage sources.
  • VI is grounded and V2 forms a common node between the DC voltage sources.
  • VI is grounded and forms a common node between each of the two DC voltage sources.
  • three DC voltage sources may be utilized to apply the three voltages VI, V2, and V3.
  • each of the three DC voltage sources may be coupled to ground, and each of the three DC voltage sources provides a corresponding one of VI, V2, V3.
  • FIG. 9B one of the DC voltages sources is grounded and the three DC voltage sources are arranged in series.
  • FIG. 9C one of DC voltages sources is disposed between ground and V2, and each of the DC voltage sources is coupled to V2.
  • the bias supply 608 depicted in FIG. 6 is merely an example of a bias supply 608 that may produce an output at Vout as shown in FIG. 7.
  • Other variations are shown and described the incorporated-by-reference patents referred to earlier herein.
  • Also disclosed in the incorporated-by-reference patents are different modulation schemes that may be applied to the basic source waveform (at Vout) to achieve a desired distribution of ion energies and to control average power applied to the plasma chamber by the bias supply.
  • One modulation scheme includes modulating the third portion 764 of the voltage waveform to effectuate desired ion energies of ions impinging upon the workpiece 103 in the plasma chamber 101.
  • the bias supply 408, 508, 608 may alternate a magnitude of the third portion 764 of the voltage waveform between two or more levels to effectuate an alternating surface potential of the workpiece 103 in the plasma between two or more distinct levels.
  • a slope of the fourth portion 766 of the voltage waveform may be adjusted to change a level of current that is provided to an electrode 104 (to compensate for ion current that impinges upon the workpiece 103) to achieve a desired spread of ion energies (e.g., around a center ion energy).
  • Successful use of bias supplies 408, 508, 608 as a bias generator in many plasma processing systems requires careful system design.
  • Modulating supplies such as the source generators 112, bias generators 108, remote plasma sources 205, and bias supplies 408, 508, 608 can cause strong modulation of plasma properties.
  • plasma properties include an impedance presented by the plasma, plasma density, sheath capacitance, and a surface potential of the workpiece 103 in the plasma 102.
  • the modulation of the voltage and/or current applied by the bias supplies 408, 508, 608 is one potential cause of modulating plasma properties.
  • Source generators 112 may also modulate plasma properties by modulating electromagnetic fields impacting the plasma 102. In particular, source generators may pulse the power (e.g., RF power) that is applied by a source generator 112.
  • a magnitude of voltage of the power applied by a source generator 112 may be changed.
  • the addition of one or more additional source generators 112 adds additional complexity.
  • one or more bias supplies 408, 508, 608 may modulate the voltage (Vout shown in FIG. 6), and hence sheath voltage, while a source generator 112 is applying pulsed power.
  • control over plasma properties e.g., plasma density and ion energy
  • spatial control over the plasma properties is especially challenging.
  • a remote plasma source 205 may replace, or augment, a source generator 112. But remote plasma sources 205 may also be modulating supplies that are configured to modulate plasma properties by modulating properties of gases in the plasma chamber 101.
  • one modulating supply may affect (e.g., in an adverse manner) operation of another modulating supply.
  • the bias supplies 408, 508, 608 may impart power at a level that results in plasma modulation, which in turn, cause undesirable changes in the load impedance presented to a source generator 112.
  • strong plasma modulation can also cause aliasing of measurements of plasma properties. The aliasing may prevent accurate measurements of forward and reflected power; thus, preventing an operator from detecting damaging power levels and/or prevent proper control over at least one of the source matching network 113 or the bias matching network 110.
  • Synchronization of equipment connected to the plasma system may mitigate the adverse effects of plasma modulation (e.g., damaging power and aliasing), and as a consequence, synchronization is highly desired. But the complex, time varying, aspects of plasma modulation (e.g., resulting from potentially many modulating supplies) can make synchronization difficult.
  • a synchronization controller 1016 that is configured to synchronize constituent equipment of a plasma processing system that may include modulating supplies and other equipment that does not modulate the plasma 102.
  • the synchronization controller 1016 includes a user interface 1050, a waveform- characterization module 1052, a waveform-repetition module 1054, a waveform- communication module 1056, and a synchronization module 1058.
  • the depicted components of the synchronization controller 1016 may be realized by hardware, firmware, software and hardware or combinations thereof.
  • the functional components of the synchronization controller 1016 may be distributed about the plasma processing system and duplicated in equipment that is connected to the plasma processing system. And as discussed further herein, the synchronization controller 1016 may be implemented as a master device or slave device.
  • the user interface 1050 enables an operator to interact with the plasma processing system so that the operator may control aspects of the synchronization and the operator may receive information about conditions of the equipment and the plasma chamber 101.
  • the user interface 1050 may be realized, for example, by one or more of a touch screen, pointing device (e.g., mouse), display, and keyboard.
  • the waveform-characterization module 1052 is generally configured to generate a waveform dataset that characterizes a waveform (e.g., a waveform of a modulation of the plasma or a waveform output (or desired to be output) by equipment) of the plasma processing system.
  • the waveform-repetition module 1054 is configured to determine a repetition period, T, for a piece of equipment connected to the plasma system, and the waveform-communication module 1056 is configured to communicate the waveform dataset to at least one of the piece of equipment or another piece of equipment connected to the plasma processing system.
  • the synchronization module 1058 is configured to send a synchronization pulse with a synchronization-pulse-repetition-period (which is an integer multiple of T) to one or more pieces of equipment connected to the plasma system.
  • FIG. 11 is a flowchart depicting a method that may be traversed in connection with a plasma processing system and the synchronization controller 1016.
  • plasma properties are modulated with a modulating supply where the modulation has a repetition period, T (Block 1100).
  • T is the repetition period of the plasma modulation— not a cycle period of the modulating supply.
  • the modulating supply may have an output with a repetition period that is different than the modulation of the plasma properties.
  • the modulating supply may have a repetition period of 200 microseconds and another modulating supply may have a repetition period of 500 microseconds resulting in the plasma 102 being modulated with a 1 millisecond repetition period, T.
  • T is a shortest length of time for which waveforms of all pieces of equipment that modulate the plasma properties of the plasma processing system is periodic with period, T.
  • the waveform characterization module 1052 may characterize a waveform with a repetition period, T, containing at least one of information about the modulation of the plasma or a desired waveform of a piece of equipment connected to the plasma processing system to produce a waveform dataset (Block 1102).
  • an exemplary output waveform 1201 of the bias supply 408, 508, 608 shown are: an exemplary output waveform 1201 of the bias supply 408, 508, 608; a waveform 1203 corresponding to is a calculated effective voltage at the surface of the workpiece 103; a corresponding synchronization signal 1204; and information about the waveform in the form of a waveform dataset 1205.
  • an output waveform 1201 is the actual output of the bias supply bias supply 408, 508, 608 (at Vout) with a fundamental period, T, 1202.
  • the waveform 1203 is a calculated effective voltage at the surface of the workpiece 103 (e.g., a sheath voltage that is the voltage of the workpiece 103 relative to the plasma 102).
  • a synchronization pulse 1204 (also referred to as a synchronization signal 1204) with a synchronization- signal-repetition- period that is an integer multiple of T.
  • the waveform dataset 1205 that includes information about the waveform 1203; thus, a characterized waveform (represented in FIG. 12) is the waveform 1203.
  • the waveform 1203 represents an alternating surface potential of the workpiece between two or more distinct levels (e.g., - 500V and -1000V), but this is only an example and is not required.
  • the characterized waveform may be an output waveform generated by a modulating supply, which in FIG. 12 is the output waveform 1201 of the bias supply 408, 508, 608.
  • the characteristics of the waveform with a repetition period T include characteristics of the plasma properties such as plasma density, sheath capacitance, sheath potential, etc.
  • the waveform dataset 1205 is sent by the waveform- communication module 1056 to the at least one piece of equipment connected to the plasma system (Block 1104), and the synchronization module 1058 sends the synchronization signal 1204 with a synchronization-signal-repetition-period (which is an integer multiple of T) to at least one piece of equipment connected to the plasma system (Block 1106).
  • the characterized waveform contains at least one of information about the modulation of the plasma or information about a desired waveform of a piece of equipment connected to the plasma processing system.
  • the waveform dataset may be communicated to a receiving-piece of equipment to control the receiving- piece of equipment (e.g., by directing the receiving-piece of equipment to provide a desired waveform).
  • the waveform dataset may be informational (e.g., to provide information about the modulation of the plasma or to provide information about an output of a modulating supply).
  • FIG. 12 depicts a specific example of a modulating supply that applies power with a waveform that enables control over ion energy in a region proximate to an electrode 104
  • the waveform characterization (Block 1106) is generally applicable to other waveforms that may represent aspects of plasma-related modulation (e.g., plasma density, plasma impedance, ion flux, etc.) or aspects of power applied by other equipment.
  • equipment coupled to the plasma processing system may include RF and DC generators, and in some implementations, the generator(s) are able to absorb power from the plasma processing system.
  • one or more generators are a load that can only absorb power from the plasma processing system. Generators that are able to absorb power are useful for controlling spatial properties of an electromagnetic field in a plasma chamber by, e.g., avoiding standing waves in the chamber.
  • One or more of the source generators 112 may synchronize a property of the output of the source generator(s) 112 with the characterized waveform (that has the repetition period T).
  • the property of the output of the source generator(s) 112 may be at least one of voltage, current, power, frequency, or generator source impedance.
  • the output of the source generator(s) 112, for example, may include (within one repetition period) pulsed power followed by continuous wave power.
  • the waveform dataset may include a time series of values indicating one or more aspects of power (e.g., voltage, current, phase, etc.) for the repetition period.
  • the source generator 112 may synchronize pulsing with a particular waveform applied by the bias supply 408, 508, 608 that may, for example, modulate a magnitude of the negative voltage swing (the third portion 764) in a different manner while the source generator 112 is pulsing as compared to when the source generator 112 is operating in a continuous-wave mode of operation.
  • This use case is only an example, and various other types of processing steps may prompt synchronization among pieces of plasma processing equipment.
  • the source generator 112 may advance or delay changes in a property of the output of the source generator 112 with respect to changes in the characterized waveform with a repetition period T.
  • the characterized waveform in some implementations may characterize the modulation of the plasma properties.
  • the characterized waveform may also characterize a waveform of the source generator 112 or another modulating supply (depending upon how the source generator 112 is configured to operate).
  • the equipment coupled to the plasma processing system is certainly not limited to modulating supplies.
  • the at least one piece of equipment that the dataset is sent to may include equipment that is configured to measure properties of the plasma processing system.
  • the measurements may include at least one of a measurement of plasma properties, properties of power delivered to the plasma system, or properties of gas delivered to the plasma system.
  • the equipment that is configured to measure properties may include one or more of the source measurement and diagnostics system 114 and the bias measurement and diagnostics system 111.
  • the source measurement and diagnostics system 114 and the bias measurement and diagnostics system 111 may include one or more sensors (e.g., directional couplers and/or VI sensors) in connection with hardware to sample and analyze properties of power delivered to the plasma system (which may be used to measure plasma impedance as a plasma property).
  • sensors e.g., directional couplers and/or VI sensors
  • properties of the gas delivered to the plasma processing system may be measured (e.g., utilizing optical or other measurement techniques).
  • plasma modulation can cause aliasing of measurements of plasma properties, so synchronizing measurements to within time windows to avoid misleading transient values (or during time windows where modulation is at a local minima) is beneficial.
  • Other equipment that may be synchronized includes matching networks.
  • the impedance matching network may synchronize measurements indicative of impedance with the characterized waveform. By synchronizing the measurements with time windows where measurements are not misleading (e.g., when there not large changes in power levels applied to the plasma), matching may be improved.
  • Examples of impedance matching networks include the source matching network 113 and the bias matching network 110.
  • the waveform dataset 1205 may be sent (Block 1104) via digital communication link to one or more of the pieces of equipment coupled to the plasma processing system.
  • the communication link may include the system control bus 117, which may be realized by known digital links (for example, without limitation, ethemet).
  • the waveform dataset 1205 may be communicated once, and then the synchronization pulse prompts each piece of equipment to operate in response to the waveform dataset in a repeating manner.
  • the synchronization signal may be sent (Block 1106) via the near-real-time communication link 119 to equipment coupled to the plasma processing system.
  • the near-real-time link may be an analog communication link to provide a single analog output with an identifiable fundamental pulse (also referred to as a“tick”)), and if required, update pulses (also referred to as“update-ticks”) are sent in between the fundamental pulses.
  • the synchronization signal may include an indication of a start of the synchronization signal repetition period as well as at least one indication that a period of time since the start of the synchronization signal repetition period has elapsed.
  • the start of the synchronization signal repetition period may be indicated by a pulse of a first duration and the indication that a period of time since the start of the synchronization signal repetition period has elapsed may be indicated by a pulse of a second duration that is different from the first duration.
  • the first duration may be longer than the second duration or vice versa.
  • the synchronization signal includes an indication of the start of the synchronization signal repetition period where the start of the synchronization signal repetition period is further modified at least once to indicate a time of day or to indicate that a new waveform is taking effect.
  • FIGS. 13 and 14 shown are flowcharts depicting activities carried out at a master piece of equipment and activities carried out at a slave piece of equipment, respectively.
  • a master piece of equipment information on desired waveforms for equipment connected to the plasma processing equipment is obtained (Block 1300), and a fundamental repetition period is determined (Block 1302).
  • a determination is also made to establish whether any intermediate synchronization pulses are necessary to maintain accuracy (Block 1304).
  • Waveform datasets are generated (Block 1306) and then communicated to equipment connected to the plasma processing system (Block 1308).
  • synchronization pulses are provided to equipment connected to the plasma processing system (Block 1310).
  • the slave equipment then waits for a pulse to be received (Block 1406) and determines whether or not the pulse was a start-of- sequence pulse (Block 1408), and if so, a time is set to zero (Block 1410). If the received pulse is not a start-of-sequence pulse (Block 1408), then the time is synchronized to a timing of the received pulse (Block 1412). As shown, if a new waveform dataset is received (Block 1414), then a new-waveform-dataset-received-flag is set (Block 1416).
  • synchronization can be maintained with good precision. For example, using 50 ppm oscillators in all equipment, a change in a waveform can be predicted with better than 50 ns accuracy for a fundamental pulse repetition rate as low as 10 kHz. For longer pulse repetition periods one can add additional synchronization pulses every 100 ps to maintain synchronization within 50 ns accuracy.
  • Synchronization between a source generator 112 and bias supply 408, 508, 608 may entail lowering voltage or cutting off voltage at the end of a given bias supply pulse. For example, it may be desirable to avoid ending an RF pulse in the midst of a bias supply pulse. Alternatively, pulsing or periodic reductions in voltage, may start and end at the same point/phase in the bias supply pulse, but for different pulses. In other words, it may be desirable to set the pulse on length equal to an integer number of bias supply pulses, whether or not the envelope pulse is in phase with a start or end to an individual bias supply pulse.
  • the previously described embodiments provide novel and nonobvious systems and methods to create laminate films, among other use cases.
  • Examples such as diamond like carbon, which when deposited with plasma processing has very high stresses that can result in peeling of the film, can now be processed to incorporate low stress graphite or amorphous carbon layers so that the overall film still exhibits diamond like carbon properties but at lower stresses.
  • aspects described herein enable production of nano-level "Bragg" structures consisting of alternative layers with different optical properties produced by combining pulsing and bias voltage control in each respective period as illustrated earlier.
  • a first chemistry can be achieved for a first period of time to deposit a first layer, then a second chemistry can be achieved for a second period of time to deposit a second layer.
  • This can be repeated numerous times to achieve a“Bragg” structure.
  • the different chemistries can be achieved by variations in one or more of: bias voltage; duty cycle of two or more bias voltages; alterations in the timing of bias voltage ; source pulsing; duty cycle of source pulsing; source voltage; and source voltage and pulsing in combination.
  • FIG. 15 shown is a block diagram depicting physical components that may be utilized to realize synchronization logic that may be implemented in equipment coupled to the plasma processing systems disclosed herein.
  • a display portion 1512 and nonvolatile memory 1520 are coupled to a bus 1522 that is also coupled to random access memory (“RAM") 1524, a processing portion (which includes N processing components) 1526, an optional field programmable gate array (FPGA) 1527, and a transceiver component 1528 that includes N transceivers.
  • RAM random access memory
  • FPGA field programmable gate array
  • FIG. 15 is not intended to be a detailed hardware diagram; thus many of the components depicted in FIG. 15 may be realized by common constructs or distributed among additional physical components. Moreover, it is contemplated that other existing and yet-to-be developed physical components and architectures may be utilized to implement the functional components described with reference to FIG. 15.
  • This display portion 1512 generally operates to provide a user interface for a user, and in several implementations, the display is realized by a touchscreen display.
  • the nonvolatile memory 720 is non-transitory memory that functions to store (e.g., persistently store) data and processor-executable code (including executable code that is associated with effectuating the methods described herein).
  • the nonvolatile memory 1520 includes bootloader code, operating system code, file system code, and non-transitory processor-executable code to facilitate the execution of the methods described herein (e.g., the methods described with reference to of FIGS. 11, 13, and 14).
  • the nonvolatile memory 1520 is realized by flash memory (e.g., NAND or ONENAND memory), but it is contemplated that other memory types may also be utilized. Although it may be possible to execute the code from the nonvolatile memory 1520, the executable code in the nonvolatile memory is typically loaded into RAM 1524 and executed by one or more of the N processing components in the processing portion 1526.
  • flash memory e.g., NAND or ONENAND memory
  • the N processing components in connection with RAM 1524 generally operate to execute the instructions stored in nonvolatile memory 1520 to enable synchronization among equipment coupled to a plasma processing system.
  • non-transitory, processor-executable code to effectuate methods of synchronously pulsing and changing voltages of the source generators and bias supplies may be persistently stored in nonvolatile memory 1520 and executed by the N processing components in connection with RAM 1524.
  • the processing portion 726 may include a video processor, digital signal processor (DSP), micro-controller, graphics processing unit (GPU), or other hardware processing components or combinations of hardware and software processing components (e.g., an FPGA or an FPGA including digital logic processing portions).
  • the processing portion 1526 may be configured to effectuate one or more aspects of the methodologies described herein (e.g., methods of synchronously operating equipment of a plasma processing equipment).
  • non- transitory processor-readable instructions may be stored in the nonvolatile memory 1520 or in RAM 1524 and when executed on the processing portion 1526, cause the processing portion 1526 to perform methods of synchronously operating modulating supplies and other equipment.
  • non-transitory FPGA-configuration-instructions may be persistently stored in nonvolatile memory 1520 and accessed by the processing portion 1526 (e.g., during boot up) to configure the hardware-configurable portions of the processing portion 1526 to effectuate the functions disclosed herein (including the functions of the synchronization controller 1016.
  • the input component 1530 operates to receive signals (e.g., the synchronization signals or datasets with waveform characterization data) that are indicative of one or more aspects of the synchronized control between equipment of a plasma processing system.
  • the signals received at the input component may include, for example, the power control and data signals, or control signals from a user interface.
  • the output component generally operates to provide one or more analog or digital signals to effectuate an operational aspect of the synchronization between the equipment.
  • the output portion 1532 may out the synchronization signal and/or waveform datasets.
  • the depicted transceiver component 1528 includes N transceiver chains, which may be used for communicating with external devices via wireless or wireline networks.
  • Each of the N transceiver chains may represent a transceiver associated with a particular communication scheme (e.g., WiFi, Ethernet, Profibus, etc.).
  • aspects of the present invention may be embodied as a system, method or computer program product. Accordingly, aspects of the present invention may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, resident software, micro-code, etc.) or an embodiment combining software and hardware aspects that may all generally be referred to herein as a "circuit,” “module” or “system.” Furthermore, aspects of the present invention may take the form of a computer program product embodied in one or more computer readable medium(s) having computer readable program code embodied thereon.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
PCT/US2018/061671 2017-11-17 2018-11-16 Improved application of modulating supplies in a plasma processing system WO2019099937A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2020545048A JP2021503702A (ja) 2017-11-17 2018-11-16 プラズマ処理システムにおける変調供給源の改良された印加
EP18878531.5A EP3711082A4 (en) 2017-11-17 2018-11-16 IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM
KR1020207017361A KR20200100643A (ko) 2017-11-17 2018-11-16 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
CN201880086789.3A CN111788654B (zh) 2017-11-17 2018-11-16 等离子体处理系统中的调制电源的改进应用

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762588255P 2017-11-17 2017-11-17
US62/588,255 2017-11-17

Publications (1)

Publication Number Publication Date
WO2019099937A1 true WO2019099937A1 (en) 2019-05-23

Family

ID=66532524

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/061671 WO2019099937A1 (en) 2017-11-17 2018-11-16 Improved application of modulating supplies in a plasma processing system

Country Status (7)

Country Link
US (4) US10811227B2 (zh)
EP (1) EP3711082A4 (zh)
JP (1) JP2021503702A (zh)
KR (1) KR20200100643A (zh)
CN (1) CN111788654B (zh)
TW (1) TWI767088B (zh)
WO (1) WO2019099937A1 (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
CN110692188B (zh) 2017-02-07 2022-09-09 鹰港科技有限公司 变压器谐振转换器
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US10861677B2 (en) 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
KR102601455B1 (ko) 2017-08-25 2023-11-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113906677A (zh) 2019-01-08 2022-01-07 鹰港科技有限公司 纳秒脉冲发生器电路中的高效能量恢复
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR102591378B1 (ko) 2019-12-24 2023-10-19 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
WO2022173629A1 (en) * 2021-02-12 2022-08-18 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) * 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US20230238216A1 (en) 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US20230317413A1 (en) * 2022-04-04 2023-10-05 COMET Technologies USA, Inc. Variable reactance device having isolated gate drive power supplies
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024015304A1 (en) * 2022-07-12 2024-01-18 Lam Research Corporation Fast frequency tracking control for radiofrequency power amplifiers with rapidly changing plasma loads
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090298287A1 (en) 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
US20140173158A1 (en) * 2012-12-14 2014-06-19 John C. Valcore, JR. Rate of Transfer of Data Within A Plasma System
US20140265910A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US20150325413A1 (en) * 2014-05-12 2015-11-12 Moojin Kim Plasma apparatus and method of fabricating semiconductor device using the same
US20160079037A1 (en) * 2014-09-17 2016-03-17 Tokyo Electron Limited Plasma processing apparatus
US20160240353A1 (en) 2015-02-16 2016-08-18 Tokyo Electron Limited Method for controlling potential of susceptor of plasma processing apparatus
US20170099723A1 (en) 2015-10-06 2017-04-06 Tokyo Electron Limited Method for impedance matching of plasma processing apparatus
WO2017126184A1 (ja) * 2016-01-18 2017-07-27 株式会社 日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
EP0395415B1 (en) 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20060066248A1 (en) * 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) * 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
JP5246836B2 (ja) * 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
CN101918044B (zh) * 2007-11-06 2014-08-27 克里奥医药有限公司 微波等离子体灭菌系统及其施放器
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US8643280B2 (en) 2008-03-20 2014-02-04 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
KR101124770B1 (ko) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
CN102105618B (zh) 2008-07-31 2012-07-25 佳能安内华股份有限公司 等离子处理设备和电子器件制造方法
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2010115128A2 (en) 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8344559B2 (en) * 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9283635B2 (en) * 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6035606B2 (ja) * 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
CN109166782B (zh) 2013-11-06 2020-08-07 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP2015115564A (ja) * 2013-12-16 2015-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9520267B2 (en) 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
CN106298419B (zh) * 2015-05-18 2018-10-16 中微半导体设备(上海)有限公司 电感耦合等离子体处理系统及处理方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090298287A1 (en) 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
US20140173158A1 (en) * 2012-12-14 2014-06-19 John C. Valcore, JR. Rate of Transfer of Data Within A Plasma System
US20140265910A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US20150325413A1 (en) * 2014-05-12 2015-11-12 Moojin Kim Plasma apparatus and method of fabricating semiconductor device using the same
US20160079037A1 (en) * 2014-09-17 2016-03-17 Tokyo Electron Limited Plasma processing apparatus
US20160240353A1 (en) 2015-02-16 2016-08-18 Tokyo Electron Limited Method for controlling potential of susceptor of plasma processing apparatus
US20170099723A1 (en) 2015-10-06 2017-04-06 Tokyo Electron Limited Method for impedance matching of plasma processing apparatus
WO2017126184A1 (ja) * 2016-01-18 2017-07-27 株式会社 日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3711082A4

Also Published As

Publication number Publication date
US20190157041A1 (en) 2019-05-23
KR20200100643A (ko) 2020-08-26
US20210074513A1 (en) 2021-03-11
TWI767088B (zh) 2022-06-11
US10811228B2 (en) 2020-10-20
EP3711082A4 (en) 2021-09-29
US10811227B2 (en) 2020-10-20
EP3711082A1 (en) 2020-09-23
US20190172685A1 (en) 2019-06-06
US20190157042A1 (en) 2019-05-23
CN111788654B (zh) 2023-04-14
US10811229B2 (en) 2020-10-20
TW201923820A (zh) 2019-06-16
JP2021503702A (ja) 2021-02-12
US11264209B2 (en) 2022-03-01
CN111788654A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
US11264209B2 (en) Application of modulating supplies in a plasma processing system
US11887812B2 (en) Bias supply with a single controlled switch
EP3711081B1 (en) Spatial and temporal control of ion bias voltage for plasma processing
JP7235761B2 (ja) プラズマ処理源および基板バイアスの同期パルス化
US20210202209A1 (en) Integrated control of a plasma processing system
JP5922053B2 (ja) Rf生成器の電力および周波数をバイモーダルで自動チューニングするためのシステムおよび方法
KR102011529B1 (ko) 플라즈마 처리 챔버 내에서의 동기화되고 단축된 마스터 슬레이브 rf 펄싱
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
US20230395355A1 (en) Synchronization of bias supplies
US20230050841A1 (en) Configurable bias supply with bidirectional switch
WO2022177846A1 (en) Integrated control of a plasma processing system

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18878531

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020545048

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 2018878531

Country of ref document: EP

Effective date: 20200617