TW201923820A - 調變電漿處理系統中的電源的改良應用 - Google Patents

調變電漿處理系統中的電源的改良應用 Download PDF

Info

Publication number
TW201923820A
TW201923820A TW107140924A TW107140924A TW201923820A TW 201923820 A TW201923820 A TW 201923820A TW 107140924 A TW107140924 A TW 107140924A TW 107140924 A TW107140924 A TW 107140924A TW 201923820 A TW201923820 A TW 201923820A
Authority
TW
Taiwan
Prior art keywords
plasma
plasma processing
waveform
processing system
repetition period
Prior art date
Application number
TW107140924A
Other languages
English (en)
Other versions
TWI767088B (zh
Inventor
札爾 吉狄翁 封
凱文 菲貝爾
丹尼 蕭
Original Assignee
新加坡商Aes全球公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新加坡商Aes全球公司 filed Critical 新加坡商Aes全球公司
Publication of TW201923820A publication Critical patent/TW201923820A/zh
Application granted granted Critical
Publication of TWI767088B publication Critical patent/TWI767088B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明記載電漿處理系統及方法。該系統可包括調變電漿性質之至少一個調變電源,其中該等電漿性質之該調變具有一重複週期T。一同步模組經組態以將具有為T之一整數倍之一同步信號重複週期之一同步信號發送至經連接至該電漿處理系統之至少一件設備。一波形通信模組將一經表徵波形之特性傳遞至經連接至該電漿系統之至少一件設備,以使得能夠同步經連接至該電漿處理系統之多件設備。該經表徵波形可含有關於該電漿之該調變的資訊或關於經連接至該電漿處理系統之一件設備之一期望波形的資訊。

Description

調變電漿處理系統中的電源的改良應用
本發明大體而言係關於電漿處理。特定而言,但非藉由限制,本發明係關於經耦合至電漿處理系統之設備的互操作。

根據 35 U.S.C. §119 之規定主張優先權
本專利申請案主張於2017年11月17日提出申請之標題為「IMPROVED APPLICATION OF AN EV SOURCE IN PLASMA PROCESSING EQUIPMENT」之臨時申請案第62/588,255號之優先權,該臨時申請案經受讓於本發明之受讓人藉此以引用方式確切地併入本文中。
用於蝕刻及沈積之電漿處理系統已使用數十年,但處理技術及設備技術之進步繼續形成越來越複雜系統。同時,用工件形成之結構尺寸的減小需要對電漿處理設備進行越來越精確的控制及互操作。目前的控制方法及相關聯系統無法解決與當前及未來的複雜系統相關聯的若干問題;因此,需要對不同但相互依賴的電漿處理設備進行新式及改良控制。
根據一態樣,電漿處理系統包括至少一個調變電源,其調變電漿性質,其中電漿性質之調變具有重複週期T。電漿處理系統包括同步模組,其經組態以將具有為T的整數倍的同步信號重複週期之同步信號發送至經連接至電漿處理系統之至少一件設備。電漿處理系統亦包括波形通信模組,該波形通信模組經組態以將具有重複週期T之特性波形之特性傳遞至經連接至電漿系統之至少一件設備,以實現經連接至電漿處理系統之多件設備的同步,其中具有重複週期T的特性波形含有關於電漿之調變的資訊或關於經連接至電漿處理系統之一件設備之期望波形的資訊中之至少一者。
另一態樣可表徵為用於電漿處理系統之控制方法。該方法包括用調變電源調變電漿性質,其中電漿性質之調變具有重複週期T。該方法亦包括用重複週期T表徵波形,含有關於電漿之調變或經連接至電漿處理系統之一件設備之所要波形的資訊中的至少一者以產生波形資料集。將波形資料集發送至經連接至電漿系統之至少一件設備,且同步信號具有同步信號重複週期,該同步信號重複週期為經連接至電漿系統之至少一件設備之T的整數倍。
另一態樣可表徵為電漿處理控制系統,其包括波形表徵模組,該波形表徵模組經組態以生成關於經連接至電漿系統之一件設備之輸出波形的波形資料集。包括波形重複模組以判定經連接至電漿系統之一件設備的重複週期T,且波形通信模組經組態以將波形資料集傳遞至經連接至電漿系統之該件設備或另一件設備中之至少一者。電漿處理系統亦包括波形通信模組及同步模組。波形通信模組經組態以將波形資料集傳遞至經連接至電漿系統之該件設備或另一件設備中之至少一者,且同步模組經組態以將具有為T之整數倍之同步脈衝重複週期之同步脈衝發送至經連接至電漿系統的一件設備。
措詞「例示性」在本文中用於意指「用作實例、例項或說明」。本文中描述為「例示性」之任一實施例未必被解釋為比其他實施例較佳或有利。
初步說明:以下圖中之流程圖及方塊圖說明根據本發明之各種實施例之系統、方法及電腦程式產品之可能實施之架構、功能性及操作。就此而言,此等流程圖或方塊圖中之一些區塊可表示程式碼之模組、區段或部分,其包含用於實施規定邏輯功能之一或多個可執行指令。亦應注意,在一些替代實施中,區塊中所述之功能可不按圖中所述之次序發生。舉例而言,連續示出之兩個區塊可實際上基本上同時執行,或區塊可有時以相反次序執行,此取決於所涉及之功能性。亦應注意,方塊圖及/或流程圖說明中之每一區塊,及方塊圖及/或流程圖說明中之區塊之組合可由專用基於硬體系統實施,該專用基於硬體系統執行規定功能或動作或專用硬體及電腦指令之組合。
雖然以下記載內容一般涉及晶圓電漿處理,但實施可包括電漿室內之任何基板處理。在一些情況下,可使用本文中所記載之系統、方法及設備處理基板以外的物件。換言之,本發明適用於次大氣壓電漿處理室內的任何物件之電漿處理,以藉由物理或化學手段實現表面改變、次表面改變,沈積或移除。
本發明可利用如US9287092、US9287086、US9435029、US9309594、US9767988、US9362089、US9105447、US9685297、US9210790中所記載之的電漿處理及基板偏壓技術。此等申請案之全部內容通過引用方式併入本文中。但應認識到,本說明書中對任何先前出版物(或自其得出之資訊)或任何已知事項的引用並非對先前出版物(或自其得出之資訊)或已知事項為本說明書與其相關之事業領域中共同常識之習用、例行或形式部分的任何形式之建議的確認或承認。
出於本發明之目的,源產生器為其能量主要用於產生並維持電漿之彼等源產生器,而「偏壓電源」為其能量主要用於產生用於自電漿吸引離子及電子之表面電位的彼等電源。
圖1示出電漿處理系統的實施例,其具有直接及間接被耦合至電漿室101之多件設備,該電漿室含有電漿102。該設備包括真空處置及氣體遞送設備106、偏壓產生器108、偏壓匹配網路110、偏壓量測及診斷111、源產生器112、源匹配網路113、源量測及診斷114、量測及診斷115,以及系統控制器116。圖1中之實施例及本文中所描述之其他實施例為電漿處理系統之複雜性的示例,且本文中之電漿系統之繪示有助於傳達被耦合至電漿室101之設備的相互關係。
電漿處理設備之相互關係之實例為調變電源(例如,源產生器112、偏壓產生器108及本文中進一步論述之其他調變電源)對電漿性質(及其控制)的影響。更具體而言,調變電源可引起電漿性質的強調變,例如電漿102向電漿處理系統100之設備呈現之阻抗。電漿調變亦可引起電漿性質之量測的混疊。在本文中進一步論述關於電漿性質之調變的影響的額外細節。
圖1中示出為含有電漿室101之電漿處理系統100 (例如,沈積或蝕刻系統),在電漿室101內含有工件(例如,晶圓) 103。多個偏壓電極104藉由偏壓量測及診斷系統111被連接至偏壓匹配網路110,多個偏壓產生器108被連接至偏壓匹配網路110。偏壓電極104可被內置在靜電卡盤中以將工件103保持在適當位置。此可能涉及將高壓DC電源供應器107整合至系統中。在諸多應用中,使用單個偏壓電極104,但可使用諸多偏壓電極104來實現期望的空間控制。
圖1中所繪示之偏壓產生器108可為應用正弦波形之較低頻率(例如,400 kHz至13.56 MHz) RF產生器。亦示出一組源電極105,其經由源量測及診斷系統114以及源匹配網路113被連接至多個源產生器112。在諸多應用中,來自單個源產生器112之電源被連接至一或多個源電極105。源產生器112可為較高頻率RF產生器(例如,13.56 MHz至120 MHz)。可實施真空維護,氣體遞送和晶圓處置設備106以完成系統,且可選地存在額外的量測及診斷設備115 (例如,光學光譜設備)。
圖1之實施例中之系統控制器116經由系統控制匯流排117控制整個系統。系統控制匯流排117亦可用於自電漿處理系統之設備收集資訊。除了系統控制匯流排117,亦可存在專用系統間通信118,其可用於例如自源產生器112控制源匹配網路113或在子系統之間交換資訊而不涉及系統控制匯流排117。在子系統之間亦可能存在近即時通信鏈路119。此可採用參考振盪器之形式對系統上之不同產生器進行鎖相,提供波形同步信號、電弧偵測信號等。雖然單個源產生器112是常見的,但是通常具有多個源產生器112及多個偏壓產生器108,以便實現所要電漿密度及對離子能量分佈的所要控制。源產生器112及/或偏壓產生器108中之一或多者可調變電漿性質且被認為係調變電源。
圖2示出電漿處理系統200的實施例,其中源產生器112由遠端電漿源205代替。如熟習此項技術者將瞭解,遠端電漿源205可包括激發源(例如,RF產生器)及電漿產生室,該電漿產生室經組態且安置以將電漿提供至電漿室101。雖然遠端電漿源205在電漿室101的外部,但遠端電漿源205可被耦合至電漿室101以與電漿室101連續體積。儘管在諸多實施例中不太可能,但在一些實施例中,遠端電漿源205可調變電漿室101中之電漿102之電漿性質。且若遠端電漿源205確實調變電漿102之電漿性質,遠端電漿源205及/或偏壓產生器108中之一或多者可被認為調變電源。
圖3示出電漿處理系統的另一實施例,其中多個偏壓產生器由整合式偏功率遞送系統308代替。此整合可藉由例如使用用於RF產生器之公共DC電源、共同控制器、輔助電力,量測系統等來降低系統複雜性並減少重複,但至電漿室101之輸出仍然為單個或多個RF頻率及/或DC信號的組合。存在諸多其他變型,諸如例如,使用源產生器及整合式偏功率遞送系統或使用整合式源及偏功率遞送系統等。
接下來參考圖4,示出電漿處理系統的又一實施例,該電漿處理系統利用偏壓電源408 (代替偏壓產生器108)來對離子能量的分佈進行更嚴格控制。如圖中示出,偏壓電源408可將週期性波形施加至幾個不同的偏壓電極104,或替代地,單獨的偏壓電源408可被耦合至每一偏壓電極104 (圖4中未示出)。如圖5中所示出,可預期多個偏壓電源508可結合多個產生器109使用。應認識到,參考圖1至圖5所描述的實施例並非相互排斥,且可採用所繪示之設備的各種組合。
接下來參考圖6,示出可用於實現偏壓電源408、508之例示性偏壓電源608的一般表示。如圖中所示出,偏壓電源608利用三個電壓V1、V2及V3。因為輸出Vout經由Cchuck進行電容耦合,所以通常不需要控制Vout之DC位準,且藉由選擇V1、V2或V3中之一者予以接地(0 V)可將三個電壓減小至兩個。可使用單獨的夾持電源107,因此無需控制Vout之DC位準。若不使用單獨的夾持電源,則可控制所有三個電壓以控制Vout之DC位準。儘管為清楚起見圖中未示出,但兩個開關S1及S2可經由電或光連接而被開關控制器控制,以使得開關控制器能夠斷開及閉合開關S1、S2,如下文所記載。所繪示開關S1、S2可藉由單刀單擲開關來實現,且作為非限制性示例,開關S1、S2可藉由碳化矽金屬氧化物半導體場效應電晶體(SiC MOSFET)來實現。
在此實施中,電壓V1、V2及V3可為DC源電壓。如圖中所示出,第一開關S1經安置以經由感應元件將第一電壓V1可切換地連接至輸出Vout,且第二開關S2經安置以經由感應元件將第二電壓V2可切換地連接至輸出Vout。在此實施中,兩個開關連接至共同節點670,且共同感應元件L1被安置在共同節點與輸出節點Vout之間。感應元件之其他配置為可能的。例如,可存在兩個單獨感應元件,其中一個感應元件將S1連接至Vout,且另一感應元件連接S2至Vout。在另一實例中,一個感應元件可連接S1至S2,而另一感應元件可將S1或S2連接至Vout。
在參考圖6時,同時參考圖7,圖7繪示:1)在Vout下輸出之偏壓電源608之電壓波形;2)對應護皮電壓;及3)開關S1及S2的對應開關位置。在操作中,第一開關S1被瞬間閉合以沿著電壓波形之第一部分760 (在電壓V0與Va之間)將輸出節點處之電壓Vout之位準增加至第一電壓位準Va。沿著波形之第二部分762保持位準Va。然後,第二開關S2被瞬間閉合,以沿著波形之第三部分764將輸出節點Vout處之電壓波形的位準降低至第二電壓位準Vb。請注意,S1及S2除了短時間以外皆為斷開的。如圖中所示出,沿著第三部分764之負電壓擺動影響護皮電壓(Vsheath);因此,可控制Va-Vb之量值以影響護皮電壓。
在此實施例中,第三電壓V3經由第二感應元件L2被施加到輸出節點Vout,以進一步降低沿著電壓波形之第四部分766之輸出節點處的電壓位準。如圖7中所示出,可建立沿著第四部分766之負電壓斜坡,以藉由對撞擊基板之離子進行補償來維持護皮電壓。
因此,S1瞬間連接且然後經由第一感應元件L1將第一電壓V1斷開連接至輸出Vout,且在一段時間之後,S2經由第一感應元件L1連接然後斷開第二電壓(例如,接地)至輸出Vout。第三電壓V3經由第二感應元件L2被耦合至輸出Vout。在此實施中,第一電壓V1可高於第三電壓V3,且第一電壓V1與輸出Vout之瞬時連接及斷開連接導致輸出電壓Vout沿著電壓波形之第一部分760增加至第一電壓位準Va,且第一電壓位準Va沿著波形762之第二部分維持。第一電壓位準Va可高於第一電壓V1,且第二電壓V2 (例如,接地)可小於第一電壓位準Va。第二電壓V2之瞬時連接且然後斷開致使輸出電壓Vout在第三部分764處減小至低於第二電壓V2 (例如,接地)之第二電壓位準Vb。
作為實例,V1可為-2000 VDC;V2可接地;V3可為-5000 VDC;V0可為-7000 VDC;Vb可為-3000 VDC;且Va可為3000 VDC。但此等電壓僅僅作為例示性,以提供參考圖6及圖7所描述之電壓的相對量級及極性的上下文。
接下來參考所示出圖8A至圖8C為兩個DC電壓源之可能配置,以提供圖6中所繪示之電壓V1、V2及V3。在圖8A中,V2接地,並且形成在兩個DC電壓源之間的共同節點。在圖8B中,V1接地,並且V2形成在DC電壓源之間的共同節點。且在圖8C中,V1接地,並且在兩個DC電壓源中之每一個之間形成共同節點。
在一些實施例中,如圖9A、圖9B及圖9C中所示出,可利用三個DC電壓源來施加三個電壓V1、V2及V3。如圖9A中所示出,三個DC電壓源中之每一者可被耦合至接地,且三個DC電壓源中之每一者提供V1、V2、V3中之對應一者。在圖9B中,DC電壓源中之一者接地,且三個DC電壓源經串聯配置。在圖9C中,DC電壓源中之一者被安置在接地與V2之間,且DC電壓源中之一者被耦合至V2。
圖6中所繪示之偏壓電源608僅僅作為偏壓電源608之實例,該偏壓電源可在Vout處產生輸出,如圖7中所示。其他變化例經示出且描述本文前面所提到之藉由參考所併入的專利。在藉由參考所併入的專利中亦記載不同的調變方案,其可應用於基本源波形(在Vout處)以實現期望的離子能量分佈且控制由偏壓電源所施加至電漿室的平均功率。
一種調變方案包括調變電壓波形之第三部分764以實現撞擊在電漿室101中之工件103上之離子之期望離子能量。作為實例,偏壓電源408、508、608可在兩個或多個位準之間交替電壓波形之第三部分764之量值,以在兩個或多個同位準之間實現工件103在電漿中之交替的表面電位。作為另一實例,可調整電壓波形之第四部分766之斜率以改變被提供至偏壓電極104之電流位準(以補償撞擊在工件103上之離子電流),以實現所要離子能量之擴散(例如,圍繞中心離子能量)。在諸多電漿處理系統中成功使用偏壓電源408、508、608作為偏壓產生器需要仔細的系統設計。
系統同步及通信
諸如源產生器112、偏壓產生器108、遠端電漿源205及偏壓電源408、508、608之調變電源可引起電漿性質之強調變。電漿性質之實例包括但不限於由電漿102所呈現之阻抗、電漿密度、護皮電容及工件103在電漿中之表面電位。如上文所論述,由偏壓電源408、508、608所施加的電壓及/或電流的調變為調變電漿性質的一個潛在原因。
源產生器112亦可藉由調變影響電漿102之電磁場來調變電漿性質。特定而言,源產生器可脈衝調製由源產生器112所施加之功率(例如,RF功率)。此外,由源產生器112所施加之功率之電壓之量值可被改變。添加一或多個額外的源產生器112增加額外複雜性。且亦預期一或多個偏壓電源408、508、608可調變電壓(圖6中所示出之Vout),且因此調變護皮電壓,同時源產生器112施加脈衝功率。因此,對電漿性質(例如,電漿密度及離子能量)的控制具有挑戰性的,且對電漿性質的空間控制尤其具有挑戰性。
如上文所論述,遠端電漿源205可替換或增強源產生器112。但遠端電漿源205亦可為調變電源,其經組態以藉由調變電漿室101中之氣體之性質來調變電漿性質。
除了控制挑戰,一個調變電源還可能影響(例如,以不利方式)另一調變電源的操作。作為具體的非限制性實例,偏壓電源408、508、608可對功率賦予位準而導致電漿調變,此繼而導致被呈現至源產生器112之負載阻抗的不期望改變。另外,強電漿調變亦可引起電漿性質之量測的混疊。混疊可妨礙前向及反射功率之精確量測;因此,防止操作者偵測損壞功率位準及/或阻止對源匹配網路113或偏壓匹配網路110中之至少一者的恰當控制。
被連接至電漿系統之設備的同步可減輕電漿調變的不利影響(例如,損壞功率及混疊),且結果是高度期望的同步。但電漿調變之複雜、隨時間變化之態樣(例如,由潛在的許多調變電源所產生)可能使同步變得困難。
參考圖10,示出同步控制器1016,其經組態以同步電漿處理系統之構成設備,其可包括調變電源及不調變電漿102之其他設備。如圖中所示出,同步控制器1016包括使用者介面1050、波形表徵模組1052、波形重複模組1054、波形通信模組1056及同步模組1058。
同步控制器1016之所繪示組件可藉由硬體、韌體、軟體與硬體或其組合實現。同步控制器1016之功能組件可被分佈在電漿處理系統周圍,且在經連接至電漿處理系統之設備中複製。且如本文中進一步論述,同步控制器1016可實施為主控裝置或從屬裝置。
使用者介面1050使得操作員能夠與電漿處理系統交互,使得操作員可控制同步之各態樣,且操作員可接收關於設備及電漿室101的狀況的資訊。可例如藉由觸摸螢幕、指向裝置(例如,滑鼠)、顯示器及鍵盤中之一或多者實現使用者介面1050。
波形表徵模組1052通常經組態以生成波形資料集,其表徵電漿處理系統之波形(例如,電漿之調變之波形或由設備所輸出(或期望輸出)之波形)。波形重複模組1054經組態以判定經連接至電漿系統之一件設備的重複週期T,且波形通信模組1056經組態以將波形資料集傳遞至經連接至電漿處理系統之該件設備或另一件設備中至少一者。同步模組1058經組態以將具有同步脈衝重複週期(為T之整數倍)的同步脈衝發送至經連接至電漿系統之一或多件設備。
在參考圖10時,同時參考圖11,圖11為繪示可結合電漿處理系統及同步控制器1016所詳細研究之方法的流程圖。如圖中所示出,利用調變電源調變電漿性質,其中調變具有重複週期T (區塊1100)。應認識到,在諸多實施例中,T為電漿調變之重複週期—而非調變電源之循環週期。因此,調變電源可具有輸出,其帶有不同於電漿性質之調變之重複週期的輸出。例如,調變電源可具有200微秒之重複週期,且另一調變電源可具有500微秒之重複週期,導致電漿102以1毫秒的重複週期T調變。在一些實施例中,T為調變電漿處理系統之電漿性質的所有件設備之波形為以週期T為週期的最短時間長度。
如圖11中所示出,波形表徵模組1052可表徵具有重複週期T的波形,其含有關於電漿之調變的資訊或經連接至電漿處理系統之一件設備之期望波形中之至少一者,以產生波形資料集(區塊1102)。
簡要地參考圖12,示出:偏壓電源408、508、608的示例性輸出波形1201;對應於之波形1203係工件103之表面處之計算有效電壓;對應同步信號1204;以及關於呈波形資料集1205的形式之波形資訊。在圖12中,輸出波形1201為具有基本週期T 1202之偏壓電源408、508、608 (在Vout處)之實際輸出。波形1203為在工件103之表面處所計算之有效電壓(例如,為工件103相對於電漿102之電壓的護皮電壓)。亦示出具有為T之整數倍的同步信號重複週期的同步脈衝1204 (亦被稱作為同步信號1204)。且波形資料集1205包括關於波形1203之資訊;因此,經表徵波形(在圖12中表示)為波形1203。應認識到,波形1203表示在兩個或多個不同位準(例如,-500V與-1000V)之間的工件之交替的表面電位,但此僅為實例且非必需的。替代地,經表徵波形可為由調變電源所產生之輸出波形,該輸出波形在圖12中為偏壓電源408、508、608之輸出波形1201。在另一實施中,具有重複週期T之波形之特性包括電漿性質之特性,諸如電漿密度、護皮電容、護皮電位等。
再次參考圖11,波形資料集1205由波形通信模組1056發送至經連接至電漿系統之至少一件設備(區塊1104),且同步模組1058將具有同步信號重複週期(其為T之整數倍)之同步信號1204發送至經連接至電漿系統之至少一件設備(區塊1106)。此方法實現經連接至電漿處理系統之多件設備的同步,其中經表徵波形含有關於電漿之調變的資訊或關於經連接至電漿處理系統之一件設備之期望波形的資訊中之至少一者。應認識到,波形資料集可傳遞至接收件設備以控制接收件設備(例如,藉由指示接收件設備以提供期望波形)。或者,波形資料集可為資訊性的(例如,以提供關於電漿之調變的資訊或提供關於調變電源之輸出的資訊)。
雖然圖12繪示調變電源的具體實例,該調變電源利用能夠控制靠近偏壓電極104之區域中之離子能量的波形來施加功率,波形表徵(區塊1106)通常可應用於可表示電漿相關調變(例如,電漿密度、電漿阻抗、離子通量等)之態樣或由其他設備所施加之功率之態樣的其他波形。例如,被耦合至電漿處理系統之設備可包括RF及DC產生器,且在一些實施中,產生器能夠自電漿處理系統吸收功率。亦預期在一些實施例中,一或多個產生器為僅能自電漿處理系統吸收功率的負載。能夠吸收功率之產生器可用於控制電漿室中之電磁場之空間性質,例如藉由避免腔室中之駐波。
源產生器112中之一或多者可使源產生器112之輸出之性質與經表徵波形(具有重複週期T)同步。源產生器112之輸出之性質可為電壓、電流、功率、頻率或產生器源阻抗中之至少一者。且源產生器112之輸出例如可包括(在一個重複週期內)脈衝功率,然後為連續波功率。且波形資料集可包括指示重複週期之功率(例如,電壓、電流、相位等)之一或多個態樣之值時間序列。源產生器112可使脈衝與由偏壓電源408、508、608所施加之特定波形同步,如與源產生器112以連續波操作模式操作時相比,在源產生器112正發射脈衝時,該偏壓電源可例如以不同方式調變負電壓擺動之量值(第三部分764)。如此使用狀況僅為實例,且各種其他類型之處理步驟可促使多件電漿處理設備之間的同步。
另外,源產生器112可相對於具有重複週期T之經表徵波形之改變來提前或延遲源產生器112之輸出的性質的改變。如上文所論述,在一些實施中之經表徵波形可表徵電漿性質之調變。經表徵波形亦可表徵源產生器112或另一調變電源之波形(取決於源產生器112如何經組態以操作)。
被耦合至電漿處理系統之設備(且如本文中所記載經同步)當然不限於調變電源。例如,經發送有資料集(區塊1104)之至少一件設備可包括經組態以量測電漿處理系統之性質的設備。例如,量測可包括電漿性質之量測,經遞送至電漿系統之功率性質,或經遞送至電漿系統之氣體性質中之至少一者。藉由進一步實例,經組態以量測性質之設備可包括源量測及診斷系統114以及偏壓量測及診斷系統111中之一或多者。熟習此項技術者認識到源量測及診斷系統114以及偏壓量測及診斷系統111可包括與硬體相關之一或多個感測器(例如,定向耦合器及/或VI感測器),以採樣並分析經遞送至電漿系統之功率之性質(其可用於量測電漿阻抗作為電漿性質)。在利用遠端電漿源205之電漿處理系統的上下文中,可量測經遞送至電漿處理系統之氣體的性質(例如,利用光學或其他量測技術)。如本文中所論述,電漿調變可引起電漿性質之量測的混疊,因此使量測同步至時間窗內以避免誤導瞬態值(或在其中調變處於局部最小值之時間窗期間)為有益的。
可經同步之其他設備包括匹配網路。例如,阻抗匹配網路可將指示阻抗之量測與經表徵波形同步。藉由使量測與其中量測非誤導性之時間窗口(例如,當被施加至電漿之功率位準沒有大的變化時)同步,可改善匹配。阻抗匹配網路之實例包括源匹配網路113及偏壓匹配網路110。
波形資料集1205可經由數位通信鏈路被發送(區塊1104)至經耦合至電漿處理系統之一或多件設備。通信鏈路可包括系統控制匯流排117,其可藉由已知數位鏈路(例如,但不限於乙太網路)來實現。在諸多實施中,波形資料集1205可經傳遞一次,且然後同步脈衝以重複方式提示每件設備回應於波形資料集而操作。
可經由近即時通信鏈路119將同步信號發送(區塊1106)至經耦合至電漿處理系統的設備。作為實例,近即時鏈路可為類比通信鏈路,以向單個類比輸出提供可識別的基本脈衝(亦被稱作為「刻點(tick)」)),且若需要,在基本脈衝之間發送更新脈衝(亦被稱作為「更新-刻點」)。另外,同步信號可包括同步信號重複週期之開始之指示以及自同步信號重複週期之開始已過去一段時間之至少一個指示。
同步信號重複週期之開始可由第一持續時間之脈衝所指示,且自同步信號重複週期之開始已過去一段時間之指示可由不同於第一持續時間的第二持續時間之脈衝所指示。例如,第一持續時間可長於第二持續時間,反之亦然。
在一些實施中,同步信號包括同步信號重複週期之開始之指示,其中同步信號重複週期之開始經進一步修改至少一次,以指示一天中之時間或指示新波形正在生效。
參考圖13及圖14,分別示出繪示在主控件設備上所實施之活動及在從屬件設備上所實施之活動的流程圖。如圖13中示出,在主控件設備處,獲得關於經連接至電漿處理設備之用於設備之期望波形的資訊(區塊1300),且判定基本重複週期(區塊1302)。亦判定是否需要建立任何中間同步脈衝以保持準確度(區塊1304)。生成波形資料集(區塊1306),然後將其傳遞至經連接至電漿處理系統之設備(區塊1308)。另外,將同步脈衝提供至經連接至電漿處理系統之設備(區塊1310)。如圖中所示出,若需要,將中間同步脈衝提供至設備(區塊1312)。且亦獲得關於序列是否應改變的資訊(區塊1314),且若序列應改變(區塊1316),則再次執行上面參考區塊1300至1314所描述之活動。
如圖14中所展示,在從屬件設備處,接收波形資料集(區塊1400),且然後從屬件設備在將時間設定至零(區塊1404)之前等待接收到序列開始脈衝(區塊1402)。然後,從屬件設備等待接收到脈衝(區塊1406)並判定脈衝是否為序列開始脈衝(區塊1408),且若如此,則將時間設定至零(區塊1410)。若所接收脈衝並非序列開始脈衝(區塊1408),則將時間與所接收脈衝之時序同步(區塊1412)。如圖中所示出,若接收到新波形資料集(區塊1414),則設定新波形資料集接收旗標(區塊1416)。若設定新波形資料集接收旗標(區塊1418)且修改所接收脈衝以指示對新資料集的改變(區塊1420),則清除新波形資料集接收旗標並且利用新波形資料集(區塊1422)。
藉由利用精密振盪器,可以良好準確度維持同步。例如,在所有設備中使用50 ppm振盪器,對於低至10 kHz之基本脈衝重複率,可以優於50 ns準確度預測波形的改變。對於較長的脈衝重複週期,可每100 ps添加額外同步脈衝以維持50 ns準確度內之同步。
在源產生器112及偏壓電源408、508、608之間的同步可能需要在給定偏壓電源脈衝的結束時降低電壓或切斷電壓。例如,可能希望避免在偏壓電源脈衝的中間結束RF脈衝。替代地,脈衝或週期性電壓降低可開始及結束在偏壓電源脈衝中之相同時點/相位,但針對不同脈衝。換言之,可期望將脈衝長度設定為等於整數個偏壓電源脈衝,無論包絡脈衝是否與個別偏壓電源脈衝之開始或結束同相。
在其他使用狀況中,先前描述之實施例提供新穎且非顯而易見之系統及方法以形成層壓膜。諸如類金剛石碳之類的實例,其當藉助電漿處理進行沈積時具有可導致膜剝離之極其高應力,現在可經處理以併入低應力石墨或非晶碳層以使得整個膜仍然展顯出類似金剛石碳的性質但處於較小應力。在一些薄膜中,可期望在一個時間段內沈積薄膜,後續接著藉由脈衝控制來修改電漿化學性質並施加高偏壓以使膜緻密化的時間段。本文中所描述之態樣使得能夠產生奈米級「布拉格(Bragg)」結構,該等結構由具有不同光學性質之替代層所組成,該等光學性質由在每一相應週期中組合脈衝及偏壓控制而產生,如較早所說明。換言之,可在第一時間段內實現第一化學物質以沈積第一層,然後可在第二時間段內實現第二化學物質以沈積第二層。如此可重複多次以實現「布拉格」結構。不同化學成分可藉由以下一或多種之變化來實現:偏壓電壓;兩個或多個偏壓電壓之工作循環;偏壓電壓之時序之變更;源脈衝;源脈衝之工作循環;源電壓;及源電壓與脈衝的組合。
結合本文中所記載之實施例所描述之方法可以下述直接被體現:硬體,經編碼於非暫時性有形處理器可讀取儲存媒體中之處理器可執行程式碼、或兩者之組合。參考圖15,例如示出繪示可用於實現同步邏輯之實體組件的方塊圖,該同步邏輯可在經耦合至本文中所記載之電漿處理系統之設備中實施。如圖中所示出,在此實施例中,顯示部分1512及非揮發性記憶體1520被耦合至匯流排1522,該匯流排亦被耦合至隨機存取記憶體(「RAM」) 1524,處理部分(其包括N個處理組件) 1526,可選的場可程式化閘陣列(FPGA) 1527及包括N個收發器之收發器組件1528。儘管圖15中繪示之組件為實體組件,但圖15並不意欲為詳細的硬體圖;因此,圖15中所描繪之組件中之諸多者可藉由共同構造來實現或被分佈在額外實體組件中。此外,可預期到可利用其他現有且尚未開發之實體組件及架構來實施參考圖15所描述的功能組件。
此顯示部分1512通常用於為使用者提供使用者介面,並在若干實施中,顯示器由觸摸螢幕顯示器來實現。通常,非揮發性記憶體720為非暫時性記憶體,其用於儲存(例如,持久儲存)資料及處理器可執行程式碼(包括與實現本文中所描述之方法相關聯的可執行程式碼)。在一些實施例中,例如,非揮發性記憶體1520包括開機載入器程式碼、作業系統程式碼、檔案系統程式碼及非暫時性處理器可執行程式碼,以便於執行本文中所描述之方法(例如,參考圖11、圖13及圖14所描述方法)。
在諸多實施中,非揮發性記憶體1520由快閃記憶體(例如,NAND或ONENAND記憶體)實現,但可預期亦可使用其他記憶體類型。儘管可自非揮發性記憶體1520執行程式碼,但非揮發性記憶體中之可執行程式碼通常經載入RAM 1524中且由處理部分1526中之N個處理組件中之一或多者執行。
N個處理組件連同RAM 1524通常用於執行在非揮發性記憶體1520中所儲存之指令,以實現經耦合至電漿處理系統之設備之間的同步。例如,用於實現同步脈衝並改變源產生器及偏壓電源之電壓的方法的非暫時性處理器可執行程式碼可持久地被儲存在非揮發性記憶體1520中並由與RAM 1524相關的N個處理組件執行。如熟習此項技術者將瞭解,處理部分726可包括視頻處理器、數位信號處理器(DSP)、微控制器,圖形處理單元(GPU)、或其他硬體處理組件或硬體與軟體處理組件(例如,FPGA或包括數位邏輯處理部分之FPGA)之組合。
另外或替代地,處理部分1526可經組態以實現本文中所描述方法之一或多個態樣(例如,同步操作電漿處理系統之設備的方法)。例如,非暫時性處理器可讀取指令可被儲存在非揮發性記憶體1520或RAM 1524中,且當在處理部分1526上執行時,致使處理部分1526執行同步地操作調變電源及其他設備的方法。替代地,非暫時性FPGA組態指令可持久地被儲存在非揮發性記憶體1520中並由處理部分1526存取(例如,在啟動期間),以組態處理部分1526之硬體可組態部分以實現本文中所記載功能(包括同步控制器1016之功能)。
輸入組件1530操作以接收用來指示電漿處理系統之設備之間的經同步控制的一或多個態樣之信號(例如,具有波形表徵資料之同步信號或資料集)。在輸入組件處所接收之信號可包括例如功率控制及資料信號,或來自使用者介面之控制信號。輸出組件通常操作以提供一或多個類比或數位信號,以實現在設備之間進行同步之操作態樣。例如,輸出部分1532可輸出同步信號及/或波形資料集。
所繪示之收發器組件1528包括N個收發器鏈,其可用於經由無線或有線網路與外部裝置通信。N個收發器鏈中之每一者可表示與特定通信方案(例如,WiFi、乙太網路、Profibus等)相關聯的收發器。
如熟習此項技術者將瞭解,本發明之各態樣可體現為系統、方法或電腦程式產品。因此,本發明之各態樣可採取完全硬體實施例、完全軟體實施例(包括韌體、常駐軟體、微程式碼等)之形式或組合軟體與硬體態樣之實施例,該等實施例通常可皆被稱作為「電路」、「模組」或「系統」。此外,本發明之各態樣可採取以一或多個電腦可讀取媒體中之電腦程序產品之形式體現,該電腦可讀取媒體具有在其上所體現之電腦可讀取程式碼。
如本文中所使用,「A、B或C中至少一者」之敍述旨在表示「A、B、C或A、B及C之任何組合」。提供對所記載實施例的先前描述以使得熟習此項技術者能夠製作或使用本發明。對此等實施例之各種修改對於熟習此項技術者將顯而易見,且本文所定義之通用原理可應用於其他實施例而不背離本發明之精神或範圍。因此,本發明並不意欲被限制於本文中所示出之實施例,而意欲賦予其與本文中所記載之原理及新穎特徵一致的最廣泛範圍。
100‧‧‧電漿處理系統
101‧‧‧電漿室
102‧‧‧電漿
103‧‧‧工件
104‧‧‧偏壓電極
105‧‧‧源電極
106‧‧‧真空處置及氣體遞送設備/真空維護,氣體遞送和晶圓處置設備
107‧‧‧高壓DC電源供應器
108‧‧‧偏壓產生器
109‧‧‧產生器
110‧‧‧偏壓匹配網路
111‧‧‧偏壓量測及診斷系統
112‧‧‧源產生器
113‧‧‧源匹配網路
114‧‧‧源量測及診斷系統
115‧‧‧量測及診斷設備
116‧‧‧系統控制器
117‧‧‧系統控制匯流排
118‧‧‧專用系統間通信
119‧‧‧近即時通信鏈路
200‧‧‧電漿處理系統
205‧‧‧遠端電漿源
308‧‧‧整合式偏壓功率遞送系統
408‧‧‧偏壓電源
508‧‧‧偏壓電源
608‧‧‧偏壓電源
760‧‧‧第一部分
762‧‧‧第二部分
764‧‧‧第三部分
766‧‧‧第四部分
1016‧‧‧同步控制器
1050‧‧‧使用者介面
1052‧‧‧波形表徵模組
1054‧‧‧波形重複模組
1056‧‧‧波形通信模組
1058‧‧‧同步模組
1201‧‧‧輸出波形
1202‧‧‧基本週期
1203‧‧‧波形
1204‧‧‧同步信號/同步脈衝
1205‧‧‧波形資料集
1512‧‧‧顯示部分
1520‧‧‧非揮發性記憶體
1522‧‧‧匯流排
1524‧‧‧隨機存取記憶體(RAM)
1526‧‧‧處理部分
1527‧‧‧場可程式化閘陣列(FPGA)
1528‧‧‧收發器組件
1530‧‧‧輸入組件
1532‧‧‧輸出部分
L1‧‧‧共同感應元件/第一感應元件
L2‧‧‧第二感應元件
S1‧‧‧開關
S2‧‧‧開關
T‧‧‧重複週期
圖1繪示經設計以實現對電漿性質之控制的電漿處理系統的實施例。
圖2繪示電漿處理系統的另一實施例,該電漿處理系統經設計以使用遠端電漿源而非一或多個源產生器來實現對電漿性質之控制。
圖3繪示電漿處理系統的另一實施例,該電漿處理系統經設計以使用遠端電漿源及整合式偏壓功率遞送系統來實現對電漿性質之控制。
圖4繪示包括偏壓電源之電漿處理系統。
圖5繪示併入有多個偏壓電源之電漿處理系統的另一實施方案。
圖6為繪示例示性偏壓電源之各態樣的圖。
圖7包括自偏壓電源輸出之電壓波形的曲線圖;對應護皮電壓的曲線圖;以及對應開關時序圖。
圖8A繪示使用兩個電壓源向圖11中所繪示之偏壓電源提供電壓的實施。
圖8B繪示使用兩個電壓源向圖11中所繪示之偏壓電源提供電壓的另一實施。
圖8C繪示使用兩個電壓源向圖11中所繪示之偏壓電源提供電壓的另一實施。
圖9A繪示使用三個電壓源向圖11中所繪示之偏壓電源提供電壓的實施。
圖9B繪示使用三個電壓源向圖11中所繪示之偏壓電源提供電壓的另一實施。
圖9C繪示使用三個電壓源向圖11中所繪示之偏壓電源提供電壓的另一實施。
圖10為繪示同步控制組件的方塊圖。
圖11為可使用同步控制組件遍歷的方法。
圖12繪示使調變電源與連接至電漿處理系統的其他設備同步的態樣。
圖13為描繪可自主控裝置執行的例示性方法的流程圖。
圖14為描繪可由從屬裝置執行的示例性方法的流程圖。
圖15為描繪可用於實施本文中所記載的控制態樣的組件的方塊圖。

Claims (60)

  1. 一種電漿處理系統,其包含: 至少一個調變電源,其調變電漿性質,其中該等電漿性質之該調變具有重複週期T; 同步模組,其經組態以將具有為T之整數倍之同步信號重複週期之同步信號發送至經連接至該電漿處理系統之至少一件設備;及 波形通信模組,其經組態以將具有該重複週期T之經特徵波形之特徵傳遞至經連接至該電漿處理系統之該至少一件設備,以使得經連接至該電漿處理系統之多件設備同步,其中具有該重複週期T之該經表徵波形含有關於該電漿之該調變的資訊或關於經連接至該電漿處理系統之一件設備之一期望波形的資訊中之至少一者。
  2. 如請求項1所述之電漿處理系統,其中T為最短時間長度,對於該最短時間長度,調變該電漿處理系統之該等電漿性質之所有件設備之波形係以週期T為週期。
  3. 如請求項1所述之電漿處理系統,其中該調變電源經組態以藉由對影響該電漿之電磁場進行調變來調變該等電漿性質。
  4. 如請求項1所述之電漿處理系統,其中該調變電源為遠端電漿源。
  5. 如請求項1所述之電漿處理系統,其中該調變電源經組態以藉由調變該電漿處理系統中之氣體性質來調變該等電漿性質。
  6. 如請求項1所述之電漿處理系統,其中該調變電源經組態以在兩個或多個不同位準之間交替該電漿中之工件之表面電位。
  7. 如請求項1所述之電漿處理系統,其中具有重複週期T之該波形之該等特性包括由該調變電源所產生之輸出波形之特性。
  8. 如請求項1所述之電漿處理系統,其中具有重複週期T之該波形之該等特性包括該電漿性質之特性。
  9. 如請求項1所述之電漿處理系統,其中具有重複週期T之該波形之該等特性包括該電漿中之工件之表面電位之特性。
  10. 如請求項1所述之電漿處理系統,其中具有重複週期T之該波形之該等特性包括經連接至該電漿處理系統之該至少一件設備之輸出之所要特性。
  11. 如請求項1所述之電漿處理系統,其中該至少一件設備為產生器。
  12. 如請求項11所述之電漿處理系統,其中該產生器使其輸出之性質與具有重複週期T之該經表徵波形同步。
  13. 如請求項12所述之電漿處理系統,其中該同步包括使該產生器之該輸出之性質的改變相對於具有重複週期T之該經表徵波形的改變為提前或延遲。
  14. 如請求項12所述之電漿處理系統,其中該輸出之該性質為電壓、電流、功率、頻率或產生器源阻抗中之至少一者。
  15. 如請求項11所述之電漿處理系統,其中該產生器為RF產生器或DC產生器中之一者。
  16. 如請求項15所述之電漿處理系統,其中該產生器能夠吸收來自該電漿處理系統之功率。
  17. 如請求項16所述之電漿處理系統,其中該產生器為僅吸收來自該電漿處理系統的功率之負載。
  18. 如請求項1所述之電漿處理系統,其中該至少一件設備經組態以量測該電漿處理系統之性質。
  19. 如請求項18所述之電漿處理系統,其中該等量測包括電漿性質之量測,經遞送至該電漿處理系統之功率性質,或經遞送至該電漿處理系統之氣體性質中之至少一者。
  20. 如請求項18所述之電漿處理系統,其中該等量測與具有該重複週期T之該經表徵波形同步。
  21. 如請求項20所述之電漿處理系統,其中該同步包括相對於具有該重複週期T之該經表徵波形的改變而提前或延遲對該電漿處理系統的該等性質之量測。
  22. 如請求項1所述之電漿處理系統,其中該至少一件設備為阻抗匹配網路。
  23. 如請求項22所述之電漿處理系統,其中該阻抗匹配網路使指示阻抗之量測與具有該重複週期T之該經表徵波形同步。
  24. 如請求項1所述之電漿處理系統,其中該至少一件設備為遠端電漿源。
  25. 如請求項1所述之電漿處理系統,其中具有為T之整數倍之同步信號重複週期之該同步信號包括該同步信號重複週期之開始之指示以及自該同步信號重複週期之該開始已過去一段時間之至少一個指示。
  26. 如請求項25所述之電漿處理系統,其中該同步信號重複週期之該開始由第一持續時間之脈衝所指示,且自該同步信號重複週期之該開始已過去一段時間之該至少一個指示由不同於該第一持續時間之第二持續時間之脈衝所指示。
  27. 如請求項1所述之電漿處理系統,其中具有為T之整數倍之同步信號重複週期之該同步信號包括該同步信號重複週期之該開始之指示,其中該同步信號重複週期之該開始之該指示經進一步修改至少一次,以指示一天中之時間或指示新波形正在生效。
  28. 一種用於電漿處理系統的控制方法,該控制方法包含: 用調變電源調變電漿性質,其中該等電漿性質之該調變具有重複週期T; 表徵具有重複週期T之波形以產生一波形資料集,該波形含有關於該電漿之該調變的資訊或經連接至該電漿處理系統之一件設備的期望波形中之至少一者; 將該波形資料集發送至經連接至該電漿系統之至少一件設備;及 將具有為T之整數倍之同步信號重複週期之同步信號發送至經連接至該電漿處理系統之該至少一件設備。
  29. 如請求項28所述之控制方法,其包括: 判定基本重複週期Tf,其中Tf為最短時間長度,對於該最短時間長度,調變該電漿處理系統之該等電漿性質之所有件設備之波形係以週期Tf為週期; 將具有為Tf之整數倍之該同步重複週期之該同步信號發送至經連接至該電漿處理系統之該至少一件設備。
  30. 如請求項28所述之控制方法,其包括藉由對影響該電漿之電磁場進行調變,以用該調變電源調變該等電漿性質。
  31. 如請求項28所述之控制方法,其中該調變電源為遠端電漿源。
  32. 如請求項28所述之控制方法,其包括藉由調變該電漿處理系統中之氣體之性質,以用該調變電源調變該等電漿性質。
  33. 如請求項28所述之控制方法,其包括藉由在兩個或多個不同位準之間交替該電漿中之工件之表面電位,以用該調變電源調變該等電漿性質。
  34. 如請求項28述之控制方法,其中具有重複週期T之該波形之該等特性包括由該調變電源所產生之輸出波形之特性。
  35. 如請求項28所述之控制方法,其中具有重複週期T之該波形之該等特性包括該電漿性質之特性。
  36. 如請求項28所述之控制方法,其中具有重複週期T之該波形之該等特性包括該電漿中之工件之表面電位之特性。
  37. 如請求項28所述之控制方法,其中具有重複週期T之該波形之該等特性包括經連接至該電漿處理系統之該至少一件設備之輸出之所要特性。
  38. 如請求項28所述之控制方法,其包括在產生器處接收該波形資料集及該同步信號。
  39. 如請求項38所述之控制方法,其包括使該產生器之該輸出之性質與具有由該波形資料集所表徵之重複週期T之該波形同步。
  40. 如請求項39所述之控制方法,其包括相對於具有由該波形資料集所表徵之重複週期T之該波形的改變,推進或延遲該產生器之該輸出之性質的變化。
  41. 如請求項39所述之控制方法,其中該輸出之該性質為電壓、電流、功率、頻率或產生器源阻抗中之至少一者。
  42. 如請求項38所述之控制方法,其中該產生器為RF產生器或DC產生器中之一者。
  43. 如請求項42所述之控制方法,其中該產生器能夠吸收來自該電漿處理系統之功率。
  44. 如請求項43所述之控制方法,其中該產生器為僅吸收來自該電漿處理系統的功率之負載。
  45. 如請求項28所述之控制方法,其中該至少一件設備量測該電漿處理系統之性質。
  46. 如請求項45所述之控制方法,其中該等量測包括電漿性質之一量測,經遞送至該電漿處理系統之功率性質,或經遞送至該電漿系統之氣體性質中之至少一者。
  47. 如請求項45所述之控制方法,其包括使量測與具有由該波形資料集所表徵之重複週期T之該波形同步。
  48. 如請求項46所述之控制方法,其中該同步包括相對於具有由該波形資料集所表徵之重複週期T之該波形的改變提前或延遲對該電漿處理系統之該等性質之量測。
  49. 如請求項28所述之控制方法,其中該至少一件設備為阻抗匹配網路。
  50. 如請求項49所述之控制方法,其包括使指示阻抗之量測與具有由該波形資料集所表徵之重複週期T之該波形同步。
  51. 如請求項28所述之控制方法,其中該至少一件設備為遠端電漿源。
  52. 如請求項28所述之控制方法,其中具有為T之整數倍之該同步信號重複週期之該同步信號包括該同步信號重複週期之開始之指示以及自該同步信號重複週期之該開始已過去一段時間之至少一個指示。
  53. 如請求項52所述之控制方法,其中該同步信號重複週期之該開始由第一持續時間之脈衝所指示,且自該同步信號重複週期之該開始已過去一段時間之該至少一個指示由不同於該第一持續時間之第二持續時間之脈衝所指示。
  54. 如請求項28所述之控制方法,其中具有為T之整數倍之同步信號重複週期之該同步信號包括該同步信號重複週期之該開始之指示,其中該同步信號重複週期之該開始之該指示經進一步修改至少一次,以指示一天中之時間或指示新波形正在生效。
  55. 一種電漿處理控制系統,其包含: 波形表徵模組,其經組態以生成經連接至電漿系統之一件設備之輸出波形的波形資料集; 波形重複模組,其經組態以針對經連接至該電漿系統之一件設備判定重複週期T; 波形通信模組,其經組態以將該波形資料集傳遞至經連接至該電漿系統之該一件設備或另一件設備中之至少一者;及 同步模組,其經組態以將具有為T之整數倍之同步脈衝重複週期之同步脈衝發送至經連接至該電漿系統之一件設備。
  56. 如請求項55所述之電漿處理控制系統,其中該波形表徵模組經組態以生成該波形資料集作為時間輸出值對之集合,以表示該件設備在該重複週期T期間之該輸出波形。
  57. 如請求項56所述之電漿處理控制系統,其中該等時間輸出值對中之每一者包括時間值及電壓值、電流值或功率值中之至少一者,以表示該件設備在該重複週期T期間之該輸出波形。
  58. 如請求項55所述之電漿處理控制系統,其中該波形表徵模組經組態以生成複數個波形資料集,該複數個波形資料集中之每一者表徵複數個輸出波形中之一對應者,且該等輸出波形中之每一者自經連接至該電漿系統之複數件設備中之一對應者輸出; 其中該波形重複模組經組態以判定基本重複週期Tf,該基本重複週期Tf為所有該複數個輸出波形以週期Tf為週期的最短時間長度; 其中該波形通信模組經組態以將該複數件設備中之一者之該等波形資料集中之至少一者傳遞至經連接至該電漿系統之該複數件設備中之一者;及 其中該同步模組經組態以將具有為Tf之一整數倍之一同步脈衝重複週期之一同步脈衝發送至複數件設備中之至少一者。
  59. 如請求項58所述之電漿處理控制系統,其中該同步模組經組態以在經連接至該電漿系統之該複數件設備中之一者之振盪器在該基本重複週期Tf內不夠準確而無法以足夠準確度維持時間的情況下,在該等同步脈衝之間發送同步刻點。
  60. 如請求項59所述之電漿處理控制系統,其中藉由使用具有與該同步刻點之該等同步脈衝之持續時間不同之持續時間的脈衝,將該等同步刻點與該等同步脈衝區分開。
TW107140924A 2017-11-17 2018-11-16 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統 TWI767088B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762588255P 2017-11-17 2017-11-17
US62/588,255 2017-11-17

Publications (2)

Publication Number Publication Date
TW201923820A true TW201923820A (zh) 2019-06-16
TWI767088B TWI767088B (zh) 2022-06-11

Family

ID=66532524

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140924A TWI767088B (zh) 2017-11-17 2018-11-16 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統

Country Status (7)

Country Link
US (4) US10811227B2 (zh)
EP (1) EP3711082A4 (zh)
JP (1) JP2021503702A (zh)
KR (1) KR20200100643A (zh)
CN (1) CN111788654B (zh)
TW (1) TWI767088B (zh)
WO (1) WO2019099937A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
KR102364528B1 (ko) 2017-07-07 2022-02-17 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 전력 전달 시스템을 위한 주기 간 제어 시스템 및 그 동작 방법
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
WO2022173629A1 (en) * 2021-02-12 2022-08-18 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230238216A1 (en) 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024015304A1 (en) * 2022-07-12 2024-01-18 Lam Research Corporation Fast frequency tracking control for radiofrequency power amplifiers with rapidly changing plasma loads
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
EP2477207A3 (en) * 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) * 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
JP5246836B2 (ja) * 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
ES2688300T3 (es) * 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
KR101124770B1 (ko) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP4580040B2 (ja) 2008-07-31 2010-11-10 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8344559B2 (en) * 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9283635B2 (en) * 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) * 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
JP6035606B2 (ja) * 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP6180799B2 (ja) * 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP2015115564A (ja) * 2013-12-16 2015-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9520267B2 (en) 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
CN106298419B (zh) * 2015-05-18 2018-10-16 中微半导体设备(上海)有限公司 电感耦合等离子体处理系统及处理方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) * 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102124407B1 (ko) * 2016-01-18 2020-06-18 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias

Also Published As

Publication number Publication date
EP3711082A4 (en) 2021-09-29
US20210074513A1 (en) 2021-03-11
US11264209B2 (en) 2022-03-01
US10811228B2 (en) 2020-10-20
US20190157042A1 (en) 2019-05-23
US20190157041A1 (en) 2019-05-23
US10811227B2 (en) 2020-10-20
CN111788654A (zh) 2020-10-16
US10811229B2 (en) 2020-10-20
KR20200100643A (ko) 2020-08-26
TWI767088B (zh) 2022-06-11
EP3711082A1 (en) 2020-09-23
JP2021503702A (ja) 2021-02-12
WO2019099937A1 (en) 2019-05-23
CN111788654B (zh) 2023-04-14
US20190172685A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
TWI767088B (zh) 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US11842884B2 (en) Spatial monitoring and control of plasma processing environments
JP5922053B2 (ja) Rf生成器の電力および周波数をバイモーダルで自動チューニングするためのシステムおよび方法
US20210202209A1 (en) Integrated control of a plasma processing system
KR101677748B1 (ko) 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
JP6312405B2 (ja) プラズマ処理装置
CN104160789B (zh) 在等离子体处理系统中同步rf脉冲的方法和装置
JP2020129549A (ja) プラズマrfバイアス消去システム
JP2022541004A (ja) 単一制御型スイッチを伴うバイアス供給装置
TWI668725B (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
TW201438523A (zh) 射頻傳輸模型之一變數値的決定
US20230395355A1 (en) Synchronization of bias supplies
WO2022177846A1 (en) Integrated control of a plasma processing system