CN104160789B - 在等离子体处理系统中同步rf脉冲的方法和装置 - Google Patents

在等离子体处理系统中同步rf脉冲的方法和装置 Download PDF

Info

Publication number
CN104160789B
CN104160789B CN201380010617.5A CN201380010617A CN104160789B CN 104160789 B CN104160789 B CN 104160789B CN 201380010617 A CN201380010617 A CN 201380010617A CN 104160789 B CN104160789 B CN 104160789B
Authority
CN
China
Prior art keywords
pulse
signals
value
generators
lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380010617.5A
Other languages
English (en)
Other versions
CN104160789A (zh
Inventor
约翰·瓦尔考
哈梅特·辛格
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104160789A publication Critical patent/CN104160789A/zh
Application granted granted Critical
Publication of CN104160789B publication Critical patent/CN104160789B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

提供了一种用于提供至少两个同步脉冲RF信号至等离子体处理系统的等离子体处理室的同步脉冲装置,该装置包括用于提供第一RF信号的第一RF发生器。将所述第一RF信号提供至所述等离子体处理室以在其中激发等离子体,所述第一RF信号表示脉冲RF信号。该装置还包括用于提供第二RF信号至所述等离子体处理室的第二RF发生器。所述第二RF发生器具有:传感器子系统,其用于检测所述至少一个与所述等离子体处理室相关的反映是向所述第一RF信号施加高脉冲还是施加低脉冲的参数的值,以及脉冲控制子系统,其用于响应于所述检测的所述至少一个参数的所述值对所述第二RF信号施加脉冲。

Description

在等离子体处理系统中同步RF脉冲的方法和装置
优先权主张
本申请主张由John C,Vakore,Jr.于2012年2月22日提交的名称为"METHODS ANDAPPARATUS FOR SYNCHRONIZING R.F PULSES IN A PLASMA PROCESSING SYSTEM"的美国临时申请号为61/602,041的共同拥有的临时专利申请的优先权,其全部内容通过引用并入本文。
背景技术
等离子体处理长期以来被用于处理衬底(例如,晶片或平板或其它衬底)以创建电子设备(例如,集成电路或平板显示器)。在等离子体处理中,将衬底置于等离子体处理室中,该等离子体处理室采用一个或多个电极将源气体(其可以是蚀刻剂源气体或沉积源气体)激发成用于处理该衬底的等离子体。可以通过RF信号激励该电极,例如,该RF信号是通过RF发生器供应的。
在一些等离子体处理系统中,可以向一个或多个电极提供多个RF信号以产生等离子体,该多个RF信号中的一些可以具有相同的RF频率或者具有不同的RF频率。例如,在电容耦合等离子体处理系统中,为了产生预期的等离子体,可以向顶部电极、底部电极、或者可以向它们两者提供一个或多个RF信号。
在一些应用中,可以向RF信号施加脉冲。对于任何给定的RF信号,RF脉冲涉及将RF信号导通和截止,通常是在相同的RF信号周期内,但可以跨越多个RF信号周期。此外,RF脉冲可以在信号之间同步。例如,如果两个信号RF1和RF2是同步的,则对于信号RF2的每个主动脉冲都有信号RF1的主动脉冲。这两个RF信号的脉冲可以是同相的,或者一个RF脉冲的上升沿可以滞后于另一个RF脉冲的上升沿,或者一个RF脉冲的下降沿可以滞后于另一个RF脉冲的下降沿,或者RF脉冲可以是异相的。
在现有技术中,多个RF信号的脉冲同步通常涉及通信网络以便在各种RF发生器之间控制通信。为了便于讨论,图1是典型的脉冲RF等离子体处理系统102的通用的现有技术实施方案的高级图。脉冲RF等离子体处理系统102包括两个RF发生器104和106。在图1的实施例中,RF发生器104代表2MHz的发生器,而RF发生器106代表60MHz的发生器。
主计算机110实现工具控制并且从阻抗匹配网络114接收反馈信号112以分别经由路径118和路径120将功率设定值数据提供(经由数字或模拟通信接口116)至RF发生器104和RF发生器106。反馈信号112涉及源和负载之间的阻抗不匹配,并且被用来控制RF发生器104和RF发生器106的输出功率电平或者正向功率电平以使功率输出最大化并使反射功率最小化。
主计算机110还向脉冲同步器和控制器130提供脉冲启动信号160。响应于该脉冲启动信号160,该脉冲同步器和控制器130向RF发生器104和RF发生器106提供(经由外部同步接口140和外部同步接口142)同步控制信号170和同步控制信号172,以指示RF发生器104和RF发生器106分别使用功率控制器150和功率控制器152来对它的RF信号施加脉冲以产生脉冲RF信号162和脉冲RF信号164。然后经由阻抗匹配网络114将脉冲RF信号162和脉冲RF信号164传递到等离子体室161中的负载。
虽然图1中的脉冲RF同步方案可以为RF发生器提供同步脉冲功能,但是有缺点。例如,图1中的各个RF发生器的脉冲功能的同步需要使用网络以在主计算机110、脉冲同步器/控制器130、以及RF发生器104和RF发生器106中的外部同步接口140和外部同步接口142之间通信。此外,图1中的各个RF发生器的脉冲功能的同步需要在各个发生器中实现外部同步接口(例如140和142)。实现这些外部同步接口给RF发生器的设计增加了额外的一层复杂性,并使得现有的RF发生器不能被用于RF同步脉冲。
鉴于上述情况,需要用于在等离子体处理系统中实现同步RF脉冲的改进的技术和系统。
附图说明
本发明在附图中的图形是通过举例的方式而不是通过限制的方式示出,其中相同的附图标记表示相同的元件,并且其中:
图1是典型的脉冲RF等离子体处理系统的通用的现有技术实施方案的高级图。
图2示出了2MHz RF信号的脉冲的时序图,以说明当一个RF发生器对其RF信号施加脉冲时,另一个RF发生器的伽马值的变化。
图3根据本发明的实施方式示出了同步脉冲RF的实施方式的简化电路框图。
图4是根据本发明的实施方式的用于提供同步RF脉冲能力的DPRF发生器的示例性的实施方式。
具体实施方式
现在将参照如附图中所示的本发明的一些实施方式详细描述本发明。在下面的描述中,为了使本发明能被全面理解,阐述了许多具体的细节。然而,将显而易见的是,本领域的技术人员在没有这些具体细节中的一些或全部的情况下可以实现本发明。在其它情况下,为了避免不必要地使本发明难以理解,未对公知的处理步骤和/或结构进行详细描述。
下文中描述了各种实施方式,包括方法和技术。应当牢记的是,本发明还可以包括存储有用于执行本发明的技术的实施方式的计算机可读指令的计算机可读介质的制品。该计算机可读介质可以包括,例如:用于存储计算机可读代码的半导体、磁、光磁、光学或其它形式的计算机可读介质。进一步地,本发明还包括用于执行本发明实施方式的装置。这种装置可以包括用来执行有关本发明的实施方式的任务的专用的和/或可编程的电路。这种的装置的实施例包括通用计算机和/或经适当编程的专用的计算装置,并且可以包括计算机/计算装置与适用于有关本发明的实施方式的各种任务的专用的/可编程的电路的组合。
本发明的实施方式涉及用于在具有多个RF发生器的等离子体处理系统中实现RF信号的同步脉冲的方法和装置。在一个或多个实施方式中,指定RF发生器中的一个为独立脉冲(IP)RF发生器,以及指定其它的RF发生器为依赖脉冲(DP)发生器。
IP RF发生器代表独立于DP RF发生器施加脉冲的RF发生器。IPRF发生器(独立脉冲发生器)响应于来自工具主计算机或其它控制器的信号产生它的RF脉冲。DP RF发生器(依赖脉冲发生器)监测属于通过IP RF发生器施加脉冲的特点的等离子体阻抗的变化并且响应于所检测到的等离子体阻抗的变化触发它们各自的RF脉冲。在一个或多个实施方式中,等离子体阻抗的变化是由在每个DP RF发生器中的功率传感器检测的,功率传感器可以测量,例如,正向RF功率和反射RF功率。
在本文中本发明人意识到,现有的RF发生器已经设置有传感器(例如功率传感器),该传感器可以监测等离子体阻抗相关的参数。当这些参数的值以某种方式变化时,可以检测到等离子体阻抗的变化。
为了进一步说明,RF发生器向负载输送RF功率的效率取决于负载阻抗与源阻抗匹配的良好程度。负载阻抗与源阻抗匹配得越密切,则通过RF发生器输送RF功率的效率越高。由于这种匹配问题是众所周知的,许多或大部分现有技术的RF发生器已经设置有用于感测源阻抗和负载阻抗之间的不匹配以及用于调整输送功率或正向功率以减少不匹配的能力。通常使用参数伽玛来测量负载-源阻抗不匹配。伽玛值为零表示完美匹配而伽马值为1表示高度不匹配。在一些RF发生器中,此伽马值是由通过功率传感器提供的值计算出来的,该功率传感器检测源RF功率和反射RF功率。
在本文中本发明人进一步认识到,等离子体阻抗是输送到等离子体的功率的函数。当给定的RF发生器(在本文中称为独立脉冲或IP RF发生器)施加脉冲时,所输送的RF功率变化,并且等离子体阻抗相应地变化。其它RF发生器(在本文中称为依赖脉冲或DP RF发生器)通过改变它们的功率输出对等离子体阻抗的这种变化作出反应以使它们的源阻抗与等离子体(或负载)阻抗匹配。
对等离子体阻抗的变化的检测通常依赖于一个或多个参数的测量,可以分析参数的值以直接地或间接地确定等离子体阻抗的变化。如果等离子体阻抗的变化是由IP RF发生器的RF脉冲引起的,则该等离子体阻抗的变化可以通过其它RF发生器检测,并且更重要的是,如果通过这些其它RF发生器的这种检测可以用来触发RF脉冲,则可以在不需要如在现有技术中所做的那样经由控制网络显式链接RF发生器的情况下实现同步脉冲。
为了说明当一个RF发生器对其RF信号施加脉冲时,另一个RF发生器的伽马值的变化,图2示出了2MHz RF信号202的脉冲的时序图,该2MHz RF信号202以159Hz被施加脉冲,具有50%的占空比。在图2的实施例中,两个RF发生器涉及:输出6000瓦特RF信号的2MHz RF发生器以及输出900瓦特RF信号的60MHz RF发生器。如所讨论的,2MHz RF信号在6000瓦特和0瓦特之间被施加脉冲,而60MHz RF信号(204)未被施加脉冲。
当2MHz RF信号202是激活的(从附图标记210至附图标记212)时,60MHz RF发生器的RF功率传感器对由高2MHz RF信号202引起的等离子体阻抗值作出反应。在这种情况下,60MHz RF发生器的匹配输入(发生器输出)处的阻抗的实数值是52.9欧姆。描述源-负载阻抗不匹配的伽玛值是0.039。
当2MHz RF信号202是未激活的(从附图标记212至附图标记214)时,60MHz RF发生器的RF功率传感器对由低2MHz RF信号202引起的等离子体阻抗作出反应。在这种情况下,60MHz RF发生器的匹配输入(发生器输出)处的阻抗的实数值仅仅是27.44欧姆。描述源-负载阻抗不匹配的伽玛值是0.856。
如在图2的实施例中可以看出的,无论是匹配输入处的阻抗还是伽马值都可以被监测,并且如果发生从2MHz RF信号202的“导通”状态的反射值到2MHz RF信号202的“截止”状态的反射值这样的变化(或者反之亦然),则这样的变化的检测可以被用作电路的触发信号,从而为60MHz DP RF发生器的60MHz信号产生RF脉冲。如果有其它DP RF发生器,则每个DP RF发生器可以监测等离子体阻抗(例如,直接地或间接地反映该等离子体阻抗的参数),并使用等离子体阻抗变化的检测来触发脉冲产生。以这种方式,主控制电路/设备(例如,来自主计算机110或脉冲同步控制器电路130)与各种RF发生器之间不需要显式控制网络。进一步,RF发生器不需要与控制网络(例如,图1中的外部同步接口电路140和外部同步接口电路142)相连接的任何额外的电路。
相反,只有一个RF发生器(IP RF发生器,如本实施例中的2MHz IP RF发生器)需要被显式控制用于RF脉冲。其它RF发生器(DP RF发生器)利用现有的检测电路(现有的检测电路通常用于监测正向RF功率和反射RF功率以调整RF输送的功率设定值的,从而使源阻抗与负载阻抗相匹配),以便间接检测IP发生器RF信号何时已脉冲化。这种检测向DP RF发生器提供了触发信号,以使得DP RF发生器能响应于由IP RF发生器对RF脉冲进行的检测产生它们自己的RF脉冲。以这种方式,实现了大大地更简化的同步脉冲。
参照附图和下面的讨论可以更好地理解本发明的实施方式的特征和优点。图3根据本发明的实施方式示出了同步脉冲RF 300的实施方式的简化电路框图。在图3中,RF发生器302表示IP RF发生器并从工具主计算机304接收到其脉冲控制信号(经由数字/模拟通信接口306)。然后IP RF发生器302使用功率控制器308生成利用通过工具主计算机304提供的功率设定值的RF脉冲。将该脉冲提供到阻抗匹配网络314以激发RF驱动等离子体室316。作为来自IP RF发生器302的2MHz脉冲的导通状态的结果,在RF驱动等离子体室316中的等离子体阻抗发生变化。
然后,通过DP RF发生器322的RF传感器320检测到这种等离子体阻抗的变化。举例而言,可以监测DP 60MHz RF发生器322的正向功率和反射功率。通常当认为来自IP RF发生器302的2MHz脉冲高时,可以使用IP_RF_脉冲_高阈值来确定。在一个实施方式中,使用由RF传感器320测量得到的伽玛值,并将其与上述IP_RF_脉冲_高值比较。一旦认为来自IP RF发生器302的2MHz脉冲导通时,可以使用与DP RF发生器322相关联的脉冲发生电路来产生用于来自DP RF发生器322的60MHz信号的脉冲。
可以将来自DP RF发生器322的脉冲设定为保持在预定的持续时间(例如,根据一些占空比规范)或者可以将来自DP RF发生器322的脉冲同步以在来自IP RF发生器302的2MHz脉冲从高状态转变到低状态(通过前面讨论过的方式监测等离子体阻抗的状态)时关闭。
图4是提供同步RF脉冲能力的DP RF发生器400的示例性的实施方式。在图4中,从工具主计算机提供信号402,该信号可以包括两个额外的值:触发阈值和增益值。触发阈值表示用于为DP发生器(该DP发生器切断由独立的脉冲发生器引起的等离子体阻抗变化)触发RF脉冲的预定值。举例而言,如果伽马值是由DP RF发生器来监测,以用于检测由于IPRF发生器的脉冲导致的等离子体阻抗变化,则该阈值可以表示伽马值,该伽马值在遍历(traverse)时表示用于通过DP RF发生器触发RF脉冲的触发信号。增益值表示用于缩放信号以通过DP RF发生器提供高电平RF脉冲和低电平RF脉冲(因为可能期望高和低的不同功率电平而不是全导通或全截止的功率电平)的值。
现在返回到图4,如果IDPC输入是零(方框404,表示该室不是在RF脉冲模式下操作),则绕过在图4的实施例中的RF脉冲功能。在这种情况下,发送默认的功率设定值(通常通过工具主计算机来提供以通过RF发生器支配功率输出)至功率放大器(方框406),并经由RF功率放大器408放大,然后经由路径410输出到等离子体室450。
RF传感器412监测图4的实施例中的正向功率和反射功率,并提供这些值至逻辑电路414以便使得默认缩放电路416能够缩放功率设定值来优化功率输送。例如,如果伽马值过高(指示正向功率和反射功率之间的较大的不匹配),则必要时可以增大或减小通过工具主计算机提供的功率设定值以优化至等离子体负载的功率输送。
然而,如果IDPC输入不等于零(方框404,表示该室是在RF脉冲模式下操作),则使能在图4的实施例中的RF脉冲功能(经由脉冲功率缩放电路420)。在这种情况下,发送功率设定值(通过工具主计算机来提供以通过RF发生器支配功率输出并且在这种情况下是IDPC输入的部分)至脉冲功率缩放电路420。缩放可以在高和低两种值之间切换,具体取决于通过RF传感器412和逻辑电路414对等离子体阻抗进行的检测。
假设RF传感器412和逻辑电路414检测到伽玛值已遍历提供有信号402的触发阈值,则将这个信息提供至脉冲功率缩放电路420,然后该脉冲功率缩放电路420缩放默认的功率设定值以反射高RF脉冲状态。一旦完成脉冲缩放(方框420),然后将新的经缩放的功率设定值发送到用于RF放大的方框408(经由方框406)并且将高RF脉冲电平发送到等离子体室。为了实现低脉冲,可以通过方框420利用另一个缩放值(例如,基于IP RF发生器的低脉冲的检测或者在预定的持续时间已经过去之后由于DP RF脉冲变高)以产生将被发送到等离子体室的低RF脉冲电平。
在一个实施方式中,用于同步RF脉冲的一般方法可以包括独立脉冲化至少一个RF电源(IP RF电源)。然后其它RF电源中的每个可以监测等离子体阻抗变化的标记(例如,伽马值、正向功率、反射功率、VI探针测量、发生器输出阻抗的实数和/或复数值,等等)。换句话说,对于以通过独立脉冲RF发生器施加脉冲为特点的等离子体阻抗已经以一定方式变化进行的检测不限于伽马监测。
在有利的实施例中,DP RF发生器可以分析从室接收到的VI探针测量和/或相位信息以便检测等离子体阻抗变化,该等离子体阻抗变化即以通过独立脉冲RF发生器施加脉冲为特点。基于以通过独立脉冲RF发生器施加脉冲为特点的等离子体阻抗已经以一定方式变化(例如,从低到高或从高到低)进行的检测,依赖RF电源可以使用该检测作为触发信号以产生其脉冲。依赖RF发生器的高RF脉冲可以持续预定的时间周期,或者基于检测到独立脉冲RF信号已经转变到低状态,依赖RF发生器的RF脉冲可以转变到低值。
如从上述可以理解的,本发明的实施方式检测等离子体阻抗变化,该等离子体阻抗变化是通过独立脉冲RF发生器的脉冲化事件的特点,并且使用该检测作为触发信号以对依赖脉冲RF发生器施加脉冲。以这种方式,在多个RF发生器之间同步脉冲不再需要复杂的网络和接口。
尽管已根据几个优选的实施方式对本发明进行了描述,但是存在落入本发明的范围之内的改动、置换和等同方案。尽管本文提供了各种实施例,但意图是这些实施例是说明性的,而不是对本发明的限制。
另外,名称和摘要是为了方便提供,而不应该被用于解释本文中权利要求的范围。进一步,摘要是以高度浓缩的形式所写而且为了方便将该摘要提供在本文中,因此不应该被用来解释或限制表示在权利要求中的总体发明。如果本文中使用术语“设置”,该术语意在具有其通常理解的数学含义,涵盖零个、一个或一个以上的元件。还应当注意的是,有许多实现本发明的方法和装置的替代方式。因此,旨在将下面所附的权利要求书解释为包含落入本发明的真实精神和范围之内的所有这些改动、置换和等同方案。

Claims (33)

1.一种用于提供至少两个同步脉冲RF信号至等离子体处理系统的等离子体处理室的同步脉冲装置,其包括:
耦合到阻抗匹配网络的用于提供第一RF信号的第一RF发生器,将所述第一RF信号提供至所述等离子体处理室以在其中激发等离子体,所述第一RF信号表示脉冲RF信号;以及
耦合到所述阻抗匹配网络的用于提供第二RF信号至所述等离子体处理室的第二RF发生器,所述第二RF发生器具有传感器子系统,其用于检测与所述等离子体处理室相关的并且反映是向所述第一RF信号施加高脉冲还是施加低脉冲的至少一个参数的值,所述第二RF发生器具有脉冲控制子系统,其用于响应于检测到所述至少一个参数的所述值对所述第二RF信号施加脉冲。
2.根据权利要求1所述的同步脉冲装置,其中所述至少一个参数表示正向RF功率和反射RF功率中的至少一个。
3.根据权利要求1所述的同步脉冲装置,其中所述至少一个参数表示伽马,所述伽马表示指示所述第二RF发生器的反射功率和正向功率之间的不匹配的程度的数值指标。
4.根据权利要求1所述的同步脉冲装置,其进一步包括工具主计算机,所述工具主计算机至少提供触发阈值以使能在所述第二RF发生器的所述传感器子系统中的电路以确定是向所述第一RF信号施加高脉冲还是施加低脉冲。
5.根据权利要求4所述的同步脉冲装置,其中所述脉冲控制子系统被配置成产生至少高电平的所述第二RF信号和低电平的所述第二RF信号,所述高电平和所述低电平通过由所述工具主计算机提供的至少一个值支配。
6.根据权利要求1所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括高脉冲值和低脉冲值,其中所述低脉冲值是非零的。
7.根据权利要求1所述的同步脉冲装置,其中所述至少一个参数表示从VI探针得到的值。
8.根据权利要求1所述的同步脉冲装置,其中所述至少一个参数表示所述第二RF发生器的输出阻抗。
9.根据权利要求1所述的同步脉冲装置,其中所述阻抗匹配网络耦合到所述第一RF发生器和所述第二RF发生器的输出端,其中所述至少一个参数表示所述阻抗匹配网络的输入端的阻抗。
10.根据权利要求1所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括预定的高脉冲值和预定的低脉冲值。
11.根据权利要求1所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括预定的高脉冲值和预定的低脉冲值,并且其中所述第二RF信号于在被施加从所述预定的低脉冲值到所述预定的高脉冲值的脉冲之后的预定的持续时间届满以后,转变到所述预定的低脉冲值。
12.根据权利要求1所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括预定的高脉冲值和预定的低脉冲值,并且其中所述第二RF信号于在被施加从所述预定的高脉冲值到所述预定的低脉冲值的脉冲之后的预定的持续时间届满以后,转变到所述预定的高脉冲值。
13.根据权利要求10所述的同步脉冲装置,其进一步包括:控制电路,其用于产生脉冲控制信号以控制通过所述第一RF发生器施加的脉冲,并且其中所述第二RF发生器不响应于来自所述控制电路的信号施加脉冲。
14.一种用于提供多个同步脉冲RF信号至等离子体处理系统的等离子体处理室的同步脉冲装置,其包括:
用于提供第一RF信号的第一RF发生器,将所述第一RF信号提供至所述等离子体处理室以在其中激发等离子体,所述第一RF信号表示脉冲RF信号;
用于产生脉冲控制信号以控制通过所述第一RF发生器施加的脉冲的控制电路;
用于提供第二RF信号至所述等离子体处理室的第二RF发生器,所述第二RF发生器具有:传感器子系统,其用于检测与所述等离子体处理室相关的、反映是向所述第一RF信号施加高脉冲还是施加低脉冲的至少一个参数的值,以及脉冲控制子系统,其用于响应于所述检测的所述至少一个参数的所述值对所述第二RF信号施加脉冲;以及
用于提供第三RF信号至所述等离子体处理室的第三RF发生器,所述第三RF发生器具有:传感器子系统,其用于检测与所述等离子体处理室相关的、反映是向所述第一RF信号施加高脉冲还是施加低脉冲的至少一个参数的所述值,以及脉冲控制子系统,其用于响应于所述检测的所述至少一个参数的所述值对所述第三RF信号施加脉冲,
其中所述第二RF发生器不响应于来自所述控制电路的信号施加脉冲,所述第二RF发生器响应于所述检测的与所述等离子体处理室相关的、反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数的所述值而实施向所述第二RF信号施加高至低的脉冲和低至高的脉冲中的至少一种,以及
其中所述第三RF发生器不响应于来自所述控制电路的信号施加脉冲,所述第三RF发生器响应于所述检测的与所述等离子体处理室相关的、反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数的所述值而实施向所述第三RF信号施加高至低的脉冲和低至高的脉冲中的至少一种。
15.根据权利要求14所述的同步脉冲装置,其中反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数表示正向RF功率和反射RF功率中的至少一种。
16.根据权利要求14所述的同步脉冲装置,其中反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数表示伽马,所述伽马表示指示所述第二RF发生器的反射功率和正向功率之间的不匹配的程度的数值指标。
17.根据权利要求14所述的同步脉冲装置,其中所述第二RF发生器的传感器子系统比较与所述等离子体处理室相关的、反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数的所述值与阈值以确定何时对所述第二RF信号施加脉冲。
18.根据权利要求14所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括高脉冲值和低脉冲值,其中所述低脉冲值是非零的。
19.根据权利要求14所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括预定的高脉冲值和预定的低脉冲值。
20.根据权利要求14所述的同步脉冲装置,其中反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数表示从VI探针得到的值。
21.根据权利要求14所述的同步脉冲装置,其中反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数表示所述第二RF发生器的输出阻抗。
22.根据权利要求14所述的同步脉冲装置,其进一步包括耦合到所述第一RF发生器和所述第二RF发生器的输出端的匹配子系统,其中反映是向所述第一RF信号施加高脉冲还是施加低脉冲的所述至少一个参数表示所述匹配子系统的输入端的阻抗。
23.根据权利要求14所述的同步脉冲装置,其中所述第二RF信号当被施加脉冲时,至少包括预定的高脉冲值和预定的低脉冲值,并且其中所述第二RF信号于在被施加从所述预定的低脉冲值到所述预定的高脉冲值的脉冲之后的预定的持续时间届满以后,转变到所述预定的低脉冲值。
24.一种用于提供多个同步脉冲RF信号至等离子体处理系统的等离子体处理室的方法,其包括:
利用耦合到阻抗匹配网络的第一RF发生器对第一RF信号施加脉冲,将所述第一RF信号提供至所述等离子体处理室以在其中激发等离子体;
检测至少一个与所述等离子体处理室相关的并且反映是向所述第一RF信号施加高脉冲还是施加低脉冲的至少一个参数的值;以及
利用耦合到所述阻抗匹配网络的第二RF发生器对第二RF信号施加脉冲,其中对所述第二RF信号施加脉冲响应于所述检测的所述至少一个参数的所述值。
25.根据权利要求24所述的方法,其中所述至少一个参数表示正向RF功率和反射RF功率中的至少一种。
26.根据权利要求24所述的方法,其中所述至少一个参数表示伽马,所述伽马表示表示所述第二RF发生器的反射功率和正向功率之间的不匹配的程度的数值指标。
27.根据权利要求24所述的方法,其进一步包括从工具主计算机接收触发阈值,所述触发阈值使能在所述第二RF发生器的传感器子系统中的电路以确定是向所述第一RF信号施加高脉冲还是施加低脉冲。
28.根据权利要求27所述的方法,其中所述第二RF信号当被施加脉冲时,至少包括高电平和低电平,所述高电平和所述低电平通过由所述工具主计算机提供的至少一个值支配。
29.根据权利要求24所述的方法,其中所述第二RF信号当被施加脉冲时,至少包括高脉冲值和低脉冲值,其中所述低脉冲值是非零的。
30.根据权利要求24所述的方法,其中所述至少一个参数表示从VI探针得到的值。
31.根据权利要求24所述的方法,其中所述至少一个参数表示所述第二RF发生器的输出阻抗。
32.根据权利要求24所述的方法,其中所述阻抗匹配网络耦合到所述第一RF发生器和所述第二RF发生器的输出端,其中所述至少一个参数表示所述阻抗匹配网络的输入端的阻抗。
33.根据权利要求24所述的方法,其中所述第二RF信号当被施加脉冲时,脉冲介于预定的高脉冲值和预定的低脉冲值之间。
CN201380010617.5A 2012-02-22 2013-02-07 在等离子体处理系统中同步rf脉冲的方法和装置 Active CN104160789B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261602041P 2012-02-22 2012-02-22
US61/602,041 2012-02-22
US13/550,719 2012-07-17
US13/550,719 US9368329B2 (en) 2012-02-22 2012-07-17 Methods and apparatus for synchronizing RF pulses in a plasma processing system
PCT/IB2013/051010 WO2013124756A1 (en) 2012-02-22 2013-02-07 Methods and apparatus for synchronising rf pulses in a plasma processing system

Publications (2)

Publication Number Publication Date
CN104160789A CN104160789A (zh) 2014-11-19
CN104160789B true CN104160789B (zh) 2017-11-21

Family

ID=48981797

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380010617.5A Active CN104160789B (zh) 2012-02-22 2013-02-07 在等离子体处理系统中同步rf脉冲的方法和装置

Country Status (6)

Country Link
US (2) US9368329B2 (zh)
KR (1) KR20140125879A (zh)
CN (1) CN104160789B (zh)
SG (1) SG11201404493YA (zh)
TW (2) TWI593320B (zh)
WO (1) WO2013124756A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP6162016B2 (ja) * 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US10049857B2 (en) * 2014-12-04 2018-08-14 Mks Instruments, Inc. Adaptive periodic waveform controller
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10063062B2 (en) * 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
JP6603586B2 (ja) * 2016-01-19 2019-11-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10109460B2 (en) * 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
WO2019099937A1 (en) * 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10672590B2 (en) * 2018-03-14 2020-06-02 Lam Research Corporation Frequency tuning for a matchless plasma source
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
CN110416047B (zh) * 2018-04-27 2021-03-02 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN110299279B (zh) * 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
JP7479256B2 (ja) * 2020-09-15 2024-05-08 東京エレクトロン株式会社 プラズマ処理装置
US20230274913A1 (en) * 2020-09-29 2023-08-31 Lam Research Corporation Synchronization of rf generators
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2022120418A (ja) * 2021-02-05 2022-08-18 東京エレクトロン株式会社 基板処理装置、基板処理システム及び異常検知方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11585764B1 (en) * 2021-12-09 2023-02-21 Applied Materials, Inc. Multi-level RF pulse monitoring and RF pulsing parameter optimization at a manufacturing system
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5980768A (en) 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) * 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Also Published As

Publication number Publication date
TW201349944A (zh) 2013-12-01
SG11201404493YA (en) 2014-10-30
TWI593320B (zh) 2017-07-21
US20130214828A1 (en) 2013-08-22
TW201725939A (zh) 2017-07-16
CN104160789A (zh) 2014-11-19
US20160268100A1 (en) 2016-09-15
WO2013124756A1 (en) 2013-08-29
US9368329B2 (en) 2016-06-14
KR20140125879A (ko) 2014-10-29

Similar Documents

Publication Publication Date Title
CN104160789B (zh) 在等离子体处理系统中同步rf脉冲的方法和装置
CN111788654B (zh) 等离子体处理系统中的调制电源的改进应用
US9043525B2 (en) Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
JP5922053B2 (ja) Rf生成器の電力および周波数をバイモーダルで自動チューニングするためのシステムおよび方法
CN1691505B (zh) 等离子体功率发生器
KR102127017B1 (ko) Rf 송신 모델 상의 변수의 값의 결정
US20210202209A1 (en) Integrated control of a plasma processing system
CN104662393A (zh) 用于具有噪声稳健性的电容传感器系统的信号处理
CN1511334A (zh) 用于控制施加于等离子体发生器中静电屏的电压的装置及方法
CN104752139B (zh) 一种射频脉冲系统及其阻抗匹配方法
KR102122782B1 (ko) 플라즈마 처리 장치 및 상기 플라즈마 처리 장치의 임피던스 매칭 방법
TWI785899B (zh) 可任意設定輸出之高頻功率之隨時間變化模式之高頻電源
US20230395355A1 (en) Synchronization of bias supplies
CN104730372B (zh) 基于rf阻抗模型的故障检测
CN107425700A (zh) 一种多路数字超声电源

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant