TW202329762A - 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體 - Google Patents

用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體 Download PDF

Info

Publication number
TW202329762A
TW202329762A TW111150645A TW111150645A TW202329762A TW 202329762 A TW202329762 A TW 202329762A TW 111150645 A TW111150645 A TW 111150645A TW 111150645 A TW111150645 A TW 111150645A TW 202329762 A TW202329762 A TW 202329762A
Authority
TW
Taiwan
Prior art keywords
voltage
bias
plasma
plasma processing
processing chamber
Prior art date
Application number
TW111150645A
Other languages
English (en)
Inventor
凱文 菲貝爾
丹尼 蕭
丹尼爾 卡特
Original Assignee
新加坡商Aes 全球公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新加坡商Aes 全球公司 filed Critical 新加坡商Aes 全球公司
Publication of TW202329762A publication Critical patent/TW202329762A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明揭示用於電漿處理之系統及方法。一例示性系統可包括一電漿處理腔室,該電漿處理腔室包括:一源,其用以在該處理腔室中產生一電漿;及至少兩個偏置電極,其配置於該電漿處理腔室內以控制接近於該等偏置電極之電漿鞘。一夾盤經安置成支撐一基板,且一源產生器耦接至電漿電極。至少一個偏置供應器耦接至該至少兩個偏置電極,且使包括一控制器以控制該至少一個偏置供應器,從而控制接近於該等偏置電極之該等電漿鞘。

Description

用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
本揭示內容大體而言係關於電漿處理。詳言之但並不作為限制,本揭示內容係關於用於運用電力供應器修改電漿處理環境之系統、方法及設備。
本專利申請案主張2017年11月17日申請案且指派給其受讓人且特此係以引用方式明確併入本文中的名為「(用於電漿處理之離子偏置電壓的空間和時間的控制)SPATIAL AND TEMPORAL CONTROL OF ION BIAS VOLTAGE FOR PLASMA PROCESSING」之臨時申請案第62/588,224號的優先權。
電漿處理腔室之挑戰為控制基板上方之電漿鞘(plasma sheath)的均一性,特別是在基板邊緣周圍。由基板邊緣、內埋式電平面之邊緣、隔離環及其他腔室相關人造物(artifact)造成的不連續性可影響鞘均一性,此改變了離子相對於基板之軌跡,且因此,可不利地影響基板之處理。
先前嘗試已使用基板固持器、腔室形狀及其他實體幾何形狀之實體改變來試圖減輕此等挑戰。但此等途徑係靜態的、不靈活的,且在其他方面不足。
一態樣可經特性化為一種用於電漿處理之系統。該系統包括一電漿處理腔室,該電漿處理腔室包括:一源,其用以在該處理腔室中提供一電漿;至少兩個偏置電極,其配置於該電漿處理腔室內以控制接近於該等偏置電極之電漿鞘;及一夾盤,其安置成支撐一基板。該系統亦包括至少一個偏置供應器,其耦接至該至少兩個偏置電極;及一控制器,其用以控制該至少一個偏置供應器,以將一不對稱週期性電壓波形施加至該至少兩個偏置電極中之每一者,以控制接近於該等偏置電極之該等電漿鞘。
另一態樣可經特性化為一種用於處理一電漿處理腔室中之一基板之方法。該方法包括:在該電漿處理腔室中產生一電漿;運用對應複數個偏置供應器將一不對稱週期性電壓波形施加至該電漿處理腔室中之複數個區帶中之每一者;及調整該等不對稱週期性電壓波形之一或多個特性以變更一電漿鞘之對應的部分。
本揭示內容大體而言描述用以在空間上及在時間上控制電容性(或電感性)耦合電漿之均一性及強度的系統、方法及設備。
詞語「例示性」在本文中用以意謂「充當實例、例子或說明」。本文中被描述為「例示性」之任何具體實例未必被認作比其他具體實例較佳或有利。且本說明書中對任何先前公開案(或來源於該先前公開案之資訊)或對已知的任何事項的任何參考,並非對先前公開案(或來源於先前公開案之資訊)或已知事項係習知的、常規的或形成本說明書所相關之努力領域(field of endeavor)中之公共常識之部分的承認或許可或任何形式的暗示。
作為初步說明,以下圖中之流程圖及方塊圖說明根據本揭示內容之各種具體實例的系統、方法及電腦程式產品之可能實施的架構、功能性及操作。就此而言,此等流程圖或方塊圖中之一些方塊可表示模組、區域段或程式碼之部分,其包含用於實施經指定邏輯功能之一或多個可執行指令。亦應注意,在一些替代實施中,方塊中所提及之功能可按不同於圖中所提及之次序出現。舉例而言,取決於所涉及之功能性,連續展示的兩個方塊事實上可實質上並行地執行,或該等方塊有時可以反向次序執行。亦將注意,方塊圖及/或流程圖說明中之每一方塊及方塊圖及/或流程圖說明中之方塊之組合,可由執行指定功能或動作的基於硬體之專用系統或專用硬體與電腦指令之組合來實施。
雖然以下揭示內容中之使用狀況包括晶圓電漿處理,但實施可包括電漿腔室內之任何基板處理。在一些情況下,可使用本文中所揭示之系統、方法及設備來處理除基板之外的物件。換言之,本揭示內容適用於次大氣壓電漿處理腔室內之任何物件之電漿處理,以藉由物理或化學手段實現表面改變、表面下改變、沈積或移除。
本揭示內容可以但無需利用如US9287092、US9287086、US9435029、US9309594、US9767988、US9362089、US9105447、US9685297、US9210790中所揭示的電漿處理及基板偏置技術。此等專利之全文係以引用方式併入本文中。
出於本揭示內容之目的,「激發源」、「源產生器」、「RF源」或「RF電力供應器」為它的能量主要用於產生並保持電漿的部件,而「偏置供應器」為它的能量主要用於產生表面電位以用於自電漿吸引離子及電子的部件。儘管源產生器及偏置供應器之頻率取決於多個因素(例如特殊應用因素)而變化,但在多個具體實例中,源產生器在13.56 MHz及更大頻率下操作,且偏置供應器在小於2 MHz(例如非限制性地介於2 MHz與400 kHz之間)之頻率下操作。在其他具體實例中,源供應器之頻率小於13.56 MHz,且預期偏置供應器可在超過2 MHz之頻率下操作。在許多應用中,源供應器之頻率大於偏置供應器之頻率。
圖1至圖4中之每一者描繪例示性電漿處理系統,其包括一般圓柱形對稱電漿處理腔室100,該電漿處理腔室具有具相似面積的頂部電極285(例如陰極)及下部(基板)電極275(例如陽極)。電漿處理腔室100之幾何形狀之此簡化易於解釋,但不應限制本揭示內容之各種實施。舉例而言電漿處理腔室100可能具有除圓柱形狀之外的形狀(例如電漿處理腔室100可具有矩形形狀)且可能不對稱。在其他具體實例中,頂部電極285及下部電極275可能不具有相同形狀及/或大小。
圖1至圖4亦將下部電極275、頂部電極285展示為其內部內埋有電平面245、249、255的絕緣體。然而,在其他具體實例中,下部電極275、頂部電極285可包含具有絕緣塗層之導電材料或金屬。更大體而言,下部電極275、頂部電極285可經實施為能夠將能量電容耦合至電漿中(頂部電極285)或在基板200表面上電容性地產生偏置電壓(下部電極275)之任何結構。儘管圖1至圖4描繪電容耦合至電漿處理腔室100內之電漿的來自源產生器之RF功率,但應認識到,RF能量亦可(或替代地)自源產生器300電感耦合至電漿處理腔室100。因此,能量耦合組件可為電感性元件(例如線圈)而非電平面255。在一些具體實例中,代替利用源產生器(例如源產生器),或除了使用源產生器以外,亦藉由遠端電漿源將電漿提供至電漿腔室。
基板200可為任何物件或物品,且在一些情況下,為由電漿處理以藉由物理或化學手段實現表面改變、表面下(subsurface)改變、沈積或移除的表面。
圖1說明電漿處理腔室100,其中由源產生器300(亦被稱作「RF源」)供電之頂部電極285係由纜線475或其他導體通過匹配網路350連接至能量耦合組件(例如內埋式電平面255)。頂部電極285藉由隔離環105與電漿處理腔室100之本體隔離。真空壁之內壁在此實施例中由隔離圓柱107與電漿電位隔離。來自頂部電極285之RF激發用以產生並維持電漿且控制電漿密度。基板200被展示處於下部電極275上。此下部電極275藉由隔離環110與電漿腔室隔離。本發明具體實例包括兩個偏置供應器402,其可各自包括DC電力供應單元(power supply unit; PSU)450及eV開關400。
電漿處理腔室之挑戰為控制基板200上方之電漿鞘的均一性,特別是在基板邊緣周圍。由基板邊緣、內埋式電平面之邊緣、隔離環110及其他腔室相關人造物造成的不連續性可影響鞘均一性,且因此可影響基板之處理均一性。先前嘗試已使用基板固持器、腔室形狀及其他實體幾何形狀之實體改變來試圖減輕此等挑戰。另外,許多先前途徑運用對稱的(例如正弦)輸出進行操作(例如其中波形之前半循環在波形之後半循環中具有對應的對稱分量)。但將正弦波形應用至基板會誘發離子能量之寬廣分佈,此限制了電漿程序進行所要蝕刻輪廓(etch profile)之能力。本揭示內容運用更動態且靈活的途徑來解決此等非均一性及缺陷。
一般而言,圖1描繪多個偏置供應器402,且每一偏置供應器402耦接至對應的電平面以在電漿處理腔室100內形成多個區帶(在本文中亦被稱作偏置區帶)。儘管圖1描繪兩個區帶,但應認識到,具體實例可包括多於兩個區帶。如圖1中所展示,偏置供應器402中之一者耦接至中央電平面249且另一偏置供應器402連接至外部內埋式電平面245。該等偏置供應器402中之每一者可獨立於另一偏置供應器而受到控制。使用兩個內埋式電平面245、249及兩個偏置供應器402會允許橫越下部電極275之非均一偏置。舉例而言,可將比施加至中心內埋式電平面249之偏置更高的偏置施加至外部內埋式電面245,或反之亦然。替代地,可將不同脈動方案應用於每一內埋式電平面245、249。雖然可施加非均一偏置,但結果可為基板200上之均一表面電位。換言之,此多內埋式平面法可用以減輕電漿、基板等中之非均一性,且從而達成基板上方之均一電漿鞘。
亦可使兩個偏置供應器之占空比(duty cycle)變化(同時在相同電壓下運行偏置供應器402),以補償歸因於電漿系統中之非均一性效應的處理速率之不同速率。或者可在不同電壓下或不同占空比及不同電壓兩者(偏置供應器之間)之組合下運行偏置供應器402,以實現所要處理均一性。亦可利用內埋式電平面與對應的偏置供應器之額外細分(例如可實施兩個或多於兩個內埋式平面及對應的偏置供應器402)。雖然展示單獨的偏置供應器402,但實務上此等偏置供應器可整合成具有共同DC電壓源但具有不同輸出的一個單元(例如饋電兩個或多於兩個eV開關之單一DC電力供應單元)。此外,可藉由不同內埋式電平面之間的分配器將eV源之輸出分裂。
圖2說明圖1中所展示之具體實例的另一變化。在此情況下,外部內埋式電平面245經配置成至少部分地處於隔離器環110之下,而非配置於基板200之外部邊緣下方。此使得能夠控制隔離器環110上方之偏置及電漿鞘均一性。在一些狀況下,可控制偏置供應器402強加之偏置,以消除隔離器環110上方之RF電漿誘發之偏置且避免將隔離器環110處理為程序之消耗品,或相反地,增強隔離器環110之電漿處理。
圖3說明圖2中所展示之具體實例的又一變化。在此情況下,外部內埋式電平面245在隔離器環110內部,以控制隔離器環110上方之偏置及鞘均一性。在一些狀況下,可控制偏置供應器強加之偏置以消除RF電漿誘發之偏置,且避免將隔離器環110處理為程序之消耗品,或相反地,增強隔離器環110之電漿處理。
圖4說明一或多個偏置供應器402耦接至一或多個頂部電極且一或多個RF源連同另一或多個偏置供應器402耦接至底部電極(經由匹配網路350)的具體實例。將偏置供應器402與源產生器300隔離而可需要之濾波器未示出,但可由所屬技術領域中具有知識者來實施。藉由使eV源之占空比及/或電壓位準變化,可變更電漿均一性且電漿均一性可影響基板之處理均一性。頂部電極285之材料可用於基板之處理,因此藉由在振幅、時間、均一性或此等各者之組合方面控制偏置供應器402之偏置位準,可控制基板200處理之均一性及速率。可希望抑制頂部電極285之表面上方的RF誘發之離子偏置電壓,在此狀況下,耦接至頂部電極285中之電平面257、259的偏置供應器402可用以消除此偏置電壓。若RF誘發之電壓非均一,則可使用多個偏置供應器402(例如兩個或多於兩個偏置供應器402)以抵消此等非均一性。
在另一具體實例中,偏置供應器402中之一或多者可為脈動的及/或使其電壓被調變,而與源產生器300之脈動及/或電壓改變同步。舉例而言,在偏置供應器402中之一或多者將偏置電壓自第一偏置電壓降低至第二偏置電壓之時段期間,源產生器300可使其輸出脈動、降低其電壓,或既脈動又降低其電壓輸出。
此等概念應不限於偏置供應器402及源產生器300之所說明之數目。實情為,可使用許多源(例如許多偏置供應器402及許多源產生器300),例如在需要電漿密度之複雜的區域特定控制(例如以達成電漿密度均一性)的情況下。亦應理解,源之數目無需匹配於電極之數目。舉例而言,四個源產生器300可驅動三個電極,或兩個源產生器可驅動五個電極,以提供兩個非限制性實例。另外,每一源可具有對應的匹配網路,或單一匹配網路可耦接至兩個或多於兩個源且與該兩個或多於兩個源阻抗匹配。在兩個或多於兩個電極耦接至一或多個偏置供應器402的情況下,此等電極可為對稱的(例如同心環)或不對稱的(例如以考量基板及/或腔室中之不對稱性)。
此外,在實施兩個或多於兩個偏置402供應器的情況下,每一偏置供應器可用以判定局部離子電流(及(因此)離子能量及離子密度)及局部鞘電容。
可將離子電流I 1提供為: (方程式1) 其中C1表示與腔室相關聯之組件的固有電容,該等組件可包括絕緣件、基板、基板支撐件及夾盤。
可將鞘電容C sheath提供為: (方程式2)
多個偏置供應器402及其量測腔室內之不同位置處之離子電流且因此量測離子密度的對應能力,可用作對偏置供應器402及/或源產生器300之回饋。且可計算鞘電容且將其用作參數值以控制鞘電容和影響電漿鞘。替代地或另外,此回饋可用以控制電漿處理腔室100或源產生器300或偏置供應器402之任何電及/或機械特徵。替代地或另外,此回饋可用以控制以下各者中之任何一或多者:電漿處理腔室100之磁體(例如用以限制或塑形電漿之磁體,或用以導引經由微波電漿源所產生之離子之磁體);壓力控制(例如壓力閥);質量流量控制;氣體流量控制;氣體化學反應控制;及腔室或其中之組件之實體幾何形狀(例如接地矽頂蓋或蓋子之豎直移動)。應進一步瞭解,在各種已知RF源(例如電容、電感、微波等)之深度描述在此處並不適當,此係因為此等RF源在此項技術中係熟知的。然而,本文中所描述之回饋及同步適用於任何已知RF源。
接下來參看圖5,其展示描繪可結合本文中所揭示之若干具體實例詳細研究的方法之流程圖。該方法包括提供具有複數個區帶之電漿處理腔室(方塊500)。如參看圖1至圖4所描述,該等區帶中之每一者可結合對應的電平面來實現。舉例而言,電平面245、249、250、257、259中之每一者可建立對應的區帶(當被賦予有來自偏置供應器402之週期性電壓波形時),以影響建立於電漿處理腔室100中之電漿之鞘的一部分。另外,將基板200置放於電漿處理腔室100中(方塊502),且在電漿處理腔室100中運用源產生器產生電漿(方塊504)。如所展示,將偏置波形(例如不對稱週期性電壓波形)施加至複數個區帶中之每一者(方塊506),且監測電漿處理腔室100之環境之一或多個特性(方塊508)。一或多個特性之監測可藉由電漿處理腔室內之感測器或探測器來實施,及/或藉由監測(在電漿處理腔室外部)由偏置供應器402或源產生器300施加之功率之一或多個態樣來實施。回應於監測(方塊508),調整由複數個區帶中之一或多者施加之偏置波形(例如不對稱週期性電壓波形)(方塊510)。
參看圖6,其展示可結合本文之具體實例使用的例示性控制系統之態樣。亦展示鞘電容(Csheath)及電容C1之表示,其表示與電漿處理腔室100相關聯的組件之固有電容,該等組件可包括絕緣件、基板、基板支撐件及夾盤。
如所展示,可藉由控制器660量測電流及/或電壓以間接監測電漿處理腔室100之環境之一或多個特性(方塊508)。電漿處理腔室100之環境之例示性特性可為鞘電容(Csheath),其可運用方程式2使用經量測輸出電壓Vout加以計算。
可在處理基板之前執行監測(方塊508)以獲得所儲存之資料(例如關於電漿處理腔室之環境之鞘電容及/或其他特性),且接著利用該資料以調整偏置波形(方塊510)(例如用前饋方式)。亦可在電漿處理期間執行方塊508處之監測,且可使用例如圖6中所展示之電壓及/或電流量測使用即時回饋來作出方塊510處之調整(例如藉由調整偏置供應器602之電壓及/或占空比)。
接下來參看圖7,其展示可用以實現偏置供應器402、602之例示性偏置供應器702的一般表示。如所展示,偏置供應器702利用三個電壓V1、V2及V3。因為輸出Vout經由Cchuck而電容耦合,所以通常沒有必要控制Vout之DC位準,且可藉由將V1、V2或V3中之一者選擇為接地(0 V)而將三個電壓減少為兩個。可使用單獨的夾持(chuck)供應器,因此沒有必要控制Vout之DC位準。若並不使用單獨的夾持供應器,則可控制全部三個電壓以控制Vout之DC位準。儘管為清楚起見而未圖示,但可經由電或光連接由開關控制器控制兩個開關S1及S2,以使開關控制器能夠斷開及閉合開關S1、S2,如下文所揭示。所描繪之開關S1、S2可由單極、單投(single throw)開關實現,且作為非限制性實例,開關S1、S2可由碳化矽金屬氧化物半導體場效電晶體(silicon carbide metal-oxide semiconductor field-effect transistor; SiC MOSFET)實現。
在此實施中,電壓V1、V2及V3可為DC源電壓。如所展示,第一開關S1經安置成經由電感性元件將第一電壓V1可切換地連接至輸出Vout,且第二開關S2經安置成經由電感性元件將第二電壓V2可切換地耦合至輸出Vout。在此實施中,兩個開關連接至共同節點670,且共同電感性元件L1安置於該共同節點與輸出節點Vout之間。電感性元件之其他配置係可能的。舉例而言,可存在兩個單獨的電感性元件,其中一個電感性元件將S1連接至Vout,且另一電感性元件將S2連接至Vout。在另一實施例中,一個電感性元件可將S1連接至S2,且另一電感性元件可將S1或S2連接至Vout。
參看圖7,同時參考圖8,其描繪:1)偏置供應器702在Vout處輸出的不對稱週期性電壓波形之循環;2)對應的鞘電壓;及3)開關S1及S2之對應的開關位置。如所展示,由偏置供應器702輸出之週期性電壓波形係不對稱的,使得電壓波形之前半循環在電壓波形之後半循環期間不具有對應的對稱分量。在操作中,使第一開關S1瞬時閉合以沿著電壓波形之第一部分860(電壓V0與Va之間),將輸出節點Vout處之電壓位準增加至第一電壓位準Va。沿著波形之第二部分862維持位準Va。接著使第二開關S2瞬時閉合以沿著波形之第三部分864使輸出節點Vout處之電壓波形之位準減小至第二電壓位準Vb。應注意,S1及S2除了短時間段之外都是斷開的。如所展示,沿著第三部分864之負電壓擺動影響鞘電壓(Vsheath);因此,可控制Va-Vb之量值來影響鞘電壓。
在此具體實例中,在第一開關S1及第二開關S2斷開時,經由第二電感性元件L2將第三電壓V3施加至輸出節點Vout,以沿著電壓波形之第四部分866使輸出節點處之電壓位準進一步減小。如圖8中所展示,可建立沿著第四部分866之負電壓斜坡以藉由補償影響基板之離子來維持鞘電壓。
因此,S1經由第一電感性元件L1將第一電壓V1瞬時連接至輸出Vout且接著斷開第一電壓V1至輸出Vout之連接,且在一時間段之後,S2經由第一電感性元件L1將第二電壓(例如接地)連接至輸出Vout且接著斷開第二電壓至輸出Vout之連接。第三電壓V3經由第二電感性元件L2耦合至輸出Vout。在此實施中,第一電壓V1可高於第三電壓V3,且第一電壓V1至輸出Vout之瞬時連接及斷開連接造成輸出Vout之電壓沿著電壓波形之第一部分860增大至第一電壓位準Va,且沿著波形862之第二部分保持第一電壓位準Va。第一電壓位準Va可高於第一電壓V1,且第二電壓V2(例如接地)可小於第一電壓位準Va。第二電壓V2之瞬時連接及接著斷開連接會造成輸出之電壓在第三部分864處減小至低於第二電壓V2(例如接地)的第二電壓位準Vb。
作為一實例,如圖9中所展示,V1可為-2000 VDC;V2可為接地;V3可為-5000 VDC;V0可為-7000 VDC;Vb可為-3000 VDC;且Va可為3000 VDC。但此等電壓僅僅係例示性的,以提供參看圖7及圖8所描述之電壓之相對量值及極性的內容背景。
接下來參看圖10A至圖10C,其展示兩個DC電壓源以提供圖7及圖9中所描繪之電壓V1、V2及V3的可能配置。在圖10A中,V2接地且形成兩個DC電壓源之間的共同節點。在圖10B中,V1接地且V2形成DC電壓源之間的共同節點。且在圖10C中,V1接地且形成兩個DC電壓源中之每一者之間的共同節點。
在一些具體實例中,如圖11A、圖11B及圖11C所展示,三個DC電壓源可用以施加三個電壓V1、V2及V3。如圖11A中所展示,三個DC電壓源中之每一者可耦合至接地,且三個DC電壓源中之每一者提供V1、V2、V3中之對應一者。在圖11B中,DC電壓源中之一者接地且三個DC電壓源串聯地配置。在圖11C中,DC電壓源中之一者安置於接地與V2之間,且DC電壓源中之每一者耦合至V2。
接下來參看圖12,其展示可用以實現偏置供應器402、602之例示性偏置供應器1202。如所展示,偏置供應器1202包括一開關控制器1204及用以提供第一電壓V1、第二電壓V2及第三電壓V3之兩個電壓源。儘管為清楚起見而未圖示,但兩個開關S1及S2耦接至開關控制器1204(例如經由電或光連接),以使開關控制器1204能夠斷開及閉合開關S1、S2,如下文所揭示。所描繪開關S1、S2可由可受到電或光信號控制的單極、單投、通常斷開的開關實現。作為非限制性實例,開關S1、S2、開關S1、S2可由碳化矽金屬氧化物半導體場效電晶體(silicon carbide metal-oxide semiconductor field-effect transistor; SiC MOSFET)實現。
亦展示例示性控制器1260,其可在每一偏置供應器之外殼內實現或可經實現為集中式工具控制器之部件。如所展示,控制器1260耦接以接收指示由偏置供應器1202在偏置供應器之輸出Vout處施加的功率之資訊(例如電壓及/或電流資訊)。如所展示,控制器1260亦耦接至開關控制器1204及兩個DC電壓源以使控制器1260能夠控制偏置供應器1202(例如控制接近於偏置電極之電漿鞘)。
另外,控制器1260包括:監測電路系統1270,其用以量測由偏置供應器1202施加之功率之至少一個特性;及腔室分析組件1272,其經組態以基於自監測電路系統1270獲得之功率之經量測特性,計算電漿處理腔室100內之環境的特性。在控制器1260中亦展示控制電路系統1274,該控制電路系統用以調整由偏置供應器1202施加之功率,以控制接近於偏置電極之電漿鞘。在圖12中,控制器1260及開關控制器1204被描繪為單獨的構築體,但應認識到,控制器1260及開關控制器1204可經整合及/或共用共同的基礎組件。舉例而言,控制器1260及開關控制器1204可並置於同一印刷電路板上。作為另一實例,控制器1260及開關控制器可由包括相似於或相同於圖13中所描繪之計算裝置之架構的系統實現。
監測電路系統1270可包括一或多個感測器,諸如定向耦合器、V-I感測器、相位及增益感測器、電壓感測器及電流感測器。如所屬技術領域中具有通常知識者應瞭解,功率之經量測特性可包括電壓、電流、相位及功率。另外,監測電路系統1270可包括類比/數位轉換組件,該等類比/數位轉換組件用以將來自感測器之類比信號轉換成功率之經量測特性之數位表示。在其他實施中,感測器與控制器1260分離,且監測電路系統1270包括類比/數位轉換組件,該等類比/數位轉換組件用以將來自感測器之類比信號轉換為功率之經量測特性之數位表示。在又其他實施中,感測器包括感測元件及類比/數位轉換組件,且監測電路系統1270可接收功率之特性之數位表示。電漿處理腔室之環境之一或多個特性的監測,可包括量測(運用監測電路系統1270)由至少一個偏置供應器施加之功率的至少一個特性。
腔室分析組件1272通常經組態以基於自監測電路系統1270獲得之功率之經量測特性,判定電漿處理腔室內之環境的特性。儘管可(藉由監測電路系統1270)量測電漿處理腔室100外部之位置處之功率,但經量測功率特性可用以計算電漿處理腔室100內之環境之特性。舉例而言,使用方程式1,可使用結合C1的在Vout處之電壓之量測來計算接近於偏置區帶之區域中的離子電流。作為另一實例,使用方程式2,可計算接近於偏置區帶之區域中之鞘電容。
控制電路系統1274通常操作以調整該偏置供應器施加之功率,從而調整電漿處理腔室100內之環境之態樣。舉例而言,可調整接近於區帶(由偏置供應器1202建立)之電漿鞘,及/或亦可調整離子電流。如所展示,控制器1260可耦接至DC電壓源及開關控制器1204;因此,參看圖8,控制器1260可用以調整電壓Va、電壓Vb、t1、T及第四部分866之斜率。如參看圖8所論述,可調整接近與偏置供應器1202相關聯的偏置區帶之電漿鞘之電壓。
再次參看圖12,在此實施中(其併有圖10A中所描繪之具體實例),將第二電壓V2提供於耦接至兩個DC電壓源且耦接至接地端之節點處,但在其他實施中(例如以上參看圖10B及圖10C所描述),第二電壓V2無需接地。如所展示,第一開關S1經安置成將第一電壓V1可切換地連接至共同節點770(其對S1及S2係共同的),且第二開關S2經安置成將第二電壓V2可切換地耦合至共同節點770。另外,第一電感性元件L1安置於該共同節點與輸出節點Vout之間。
在操作中,開關控制器1204經組態以閉合第一開關S1,以沿著電壓波形之第一部分860(電壓V 0與Va之間)將輸出節點Vout處之電壓位準增大至第一電壓位準Va,且接著斷開第一開關S1,該第一電壓位準Va沿著波形之第二部分862得以維持。開關控制器1204接著閉合第二開關S2,以沿著波形之第三部分864將輸出節點Vout處之電壓波形之位準減小至第二電壓位準Vb,且接著開關控制器704斷開第二開關S2,使得S1及S2斷開。如所展示,沿著第三部分864之負電壓擺動影響鞘電壓(Vsheath);因此,可控制Vb之量值以影響緊靠著耦接至Vout之電極平面之鞘電壓。所屬技術領域中具有知識者應瞭解,在此實施中,可藉由控制V1來控制Vb,但由於電感器L1之效應,Vb不等於V1。
在此具體實例中,第二電壓源用作離子補償組件,以至少在第一開關S1及第二開關S2斷開時經由第二電感性元件L2將第三電壓V3施加至輸出節點Vout,從而沿著週期性不對稱電壓波形之第四部分866進一步減小輸出節點處之電壓波形之位準。如圖8中所展示,可建立沿著第四部分866之負電壓斜坡以藉由補償影響基板之離子來維持鞘電壓。
因此,S1經由第一電感性元件L1將第一電壓V1瞬時連接至輸出Vout且接著斷開第一電壓V1至輸出Vout之連接,且在一時間段之後,S2經由第一電感性元件L1將第二電壓(例如接地)連接至輸出Vout且接著斷開第二電壓至輸出Vout之連接。第三電壓V3經由第二電感性元件L2耦合至輸出Vout。在此實施中,第一電壓V1可高於第三電壓V3,且第一電壓V1至輸出Vout之瞬時連接及斷開連接造成輸出Vout之電壓沿著電壓波形之第一部分860增大至第一電壓位準Va,且沿著波形862之第二部分保持第一電壓位準Va。第一電壓位準Va可高於第一電壓V1,且第二電壓V2(例如接地)可小於第一電壓位準Va。第二電壓V2之瞬時連接及接著斷開連接會造成輸出之電壓在第三部分864處減小至低於第二電壓V2(例如接地)的第二電壓位準Vb。
在一具體實例中,可使用一或多個偏置供應器以量測離子密度、鞘電容,或其他腔室參數,其中在腔室中具有參考基板或不具有基板。可進行一或多個處理回合,且接著可重複量測。以此方式,可監測腔室之改變。
若使用矽頂蓋,則一或多個偏置供應器402、602、702、1202可用以監測區域離子密度及/或其他腔室參數。矽頂蓋(亦被稱作矽真空密封件)典型地為消耗品,但可能不以均一方式被消耗。使用多個偏置供應器402、602、702、1202以量測區域電漿特性可提供用以推斷矽真空密封件中之非均一改變的手段。隨著時間推移之此回饋可用以調整源產生器300(例如RF源)及/或偏置供應器402、602、702、1202,從而考量矽真空密封件中之時變非均一性。另外,此回饋可用以判定矽真空密封件何時可能應該更換。在另一具體實例中,一或多個偏置供應器402、602、702、1202可耦接至鄰近於此矽真空密封件之電極(例如在腔室之頂部處)。由於偏置供應器402、702、1202可用以修改或甚至消除電漿鞘,故此頂部安裝之偏置供應器402、602、702、1202可用以最小化或甚至消除矽真空密封件與電漿之間的電漿鞘。以此方式,與當前程序相比,矽真空密封件之侵蝕或消耗可得以減少。
沿著此等線,每一偏置供應器402、602、702、1202及對應的電極可配置於處理腔室之各個位置處,以便局部控制電漿鞘且從而減少或消除針對腔室之某些區域或組件之離子轟擊(bombardment)。離子密度及鞘電容及其局部變化可用以監測腔室清潔度。舉例而言,局部離子密度隨著時間推移之改變可指示局部腔室表面已累積一或多個膜。在另一具體實例中,分佈於空間中之多個靜電夾盤電壓可用以影響區域離子密度。
結合本文中所揭示之具體實例所描述的方法可直接以硬體、以編碼於非暫時性有形處理器可讀取儲存媒體中之處理器可執行碼而被具體化,或以該兩者之組合而被具體化。舉例而言,參看圖13,其展示描繪根據一例示性具體實例的可用以實現源產生器300及偏置供應器402、602、702、1202之控制態樣之實體組件的方塊圖。如所展示,在此具體實例中,顯示器部分1312及非揮發性記憶體1320耦接至匯流排1322,該匯流排亦耦接至隨機存取記憶體(「RAM」)1324、處理部分(其包括 N個處理組件)1326、場可程式閘陣列(FPGA)1327及包括 N個收發器之收發器組件1328。儘管圖13中所描繪之組件表示實體組件,但圖13並不意欲為詳細硬體圖;因此,圖13中所描繪之許多組件可藉由常見構築體實現或分佈於額外實體組件當中。此外,預期其他現有及尚待開發之實體組件及架構可用以實施參看圖13所描述之功能組件。
此顯示器部分1312通常操作以向使用者提供使用者介面,且在若干實施中,藉由觸控式螢幕顯示器來實現該顯示器。一般而言,非揮發性記憶體1320為用以儲存(例如持久儲存)資料及處理器可執行碼(包括與實行本文所描述之方法相關聯的可執行碼)之非暫時性記憶體。舉例而言,在一些具體實例中,非揮發性記憶體1320包括開機載入程式碼、作業系統碼、檔案系統碼及非暫時性處理器可執行碼,以促進執行使如關於圖1至圖12所描述的基板或電漿處理腔室100之不同局部區域偏置的方法。監測電路系統1270、腔室分析組件1272及控制電路系統1272中之一或多者可藉由非暫時性處理器可執行碼至少部分地實現。
在許多實施中,非揮發性記憶體1320係藉由快閃記憶體(例如,NAND或ONENAND記憶體)實現,但預期亦可利用其他記憶體類型。儘管有可能執行來自非揮發性記憶體1320之程式碼,但典型地將非揮發性記憶體中之可執行碼載入至RAM 1324中且藉由處理部分1326中之N個處理組件中之一或多者執行。
和RAM 1324連接之 N個處理組件通常操作以執行儲存於非揮發性記憶體1320中之指令,以使能夠執行本文中所揭示之演算法及功能。應認識到,本文中揭示了若干演算法,但此等演算法中之一些並未在流程圖中表示。用以實行使如圖1至圖12中所展示及關於圖1至圖12所描述的基板或腔室之不同局部區域偏置之方法的處理器可執行碼可持久地儲存於非揮發性記憶體1320中,且藉由與RAM 1324連接之 N個處理組件來執行。如所屬技術領域中具有通常知識者應瞭解,處理部分1326可包括視訊處理器、數位信號處理器(DSP)、微控制器、圖形處理單元(GPU),或其他硬體處理組件或硬體及軟體處理組件之組合(例如FPGA或包括數位邏輯處理部分之FPGA)。
另外或在替代例中,非暫時性FPGA組態指令可持久地儲存於非揮發性記憶體1320中,且經存取(例如在啟動期間)以組態場可程式化閘陣列(FPGA)來實施本文中所揭示之演算法,且實行控制器1260之一個或多個功能,或者實行源產生器300(例如RF源)及偏置供應器402、602、702、1202之其他態樣。
輸入組件1330操作以接收指示電漿處理腔室100內之環境之一或多個態樣及/或源產生器300與偏置供應器402、602、702、1202之間的經同步控制的信號(例如電流、電壓及相位資訊及/或偏置供應器與源產生器之間的同步信號)。在輸入組件處所接收之信號可包括例如至各個產生器及電力供應器單元的同步信號、功率控制信號,或來自使用者介面之控制信號。輸出組件通常操作以提供一或多個類比或數位信號,以實行控制如本文中所揭示的偏置供應器(例如電漿處理腔室100內之基板及/或其他組件之局部偏置)之操作態樣;及/或提供信號以實行RF源與偏置供應器之間的同步。舉例而言,輸出部分1332可提供偏置供應器402、602、702、1202與源產生器300之間的同步信號。
所描繪之收發器組件1328包括 N個收發器鏈,其可用於經由無線或有線網路與外部裝置通訊。 N個收發器鏈中之各者可表示與特定通訊方案(例如WiFi、乙太網路、Profibus等)相關聯的收發器。
如所屬技術領域中具有知識者應瞭解,本揭示內容之態樣可具體化為系統、方法或電腦程式產品。因此,本揭示內容之態樣可採取完全硬體具體實例、完全軟體具體實例(包括韌體、常駐軟體、微碼等)或組合軟體與硬體態樣之具體實例的形式,其在本文中一般皆可被稱作「電路」、「模組」或「系統」。此外,本揭示內容之態樣可採取具體化於一或多個電腦可讀媒體中的電腦程式產品之形式,該一或多個電腦可讀媒體具有具體化於其上之電腦可讀程式碼。
如本文所使用,「A、B或C中之至少一者」之敍述意欲意謂「任一A、B、C或A、B及C之任何組合」。提供所揭示具體實例的先前描述以使任何所屬技術領域中具有知識者能夠製作或使用本揭示內容。所屬技術領域中具有知識者將顯而易見對此等具體實例之各種修改,且在不背離本揭示內容之精神或範圍的情況下可將本文中定義之一般原理應用於其他具體實例。因此,本揭示內容並不意欲限於本文中所展示之具體實例,而應符合與本文中所揭示原理及新穎特徵一致的最廣泛範圍。
100:電漿處理腔室 105:隔離環 107:隔離圓柱 110:隔離環 200:基板 245:電平面 249:電平面 250:電平面 255:電平面 257:電平面 259:電平面 275:下部電極 285:頂部電極 300:源產生器 350:匹配網路 400:eV開關 402:偏置供應器 450:DC電力供應單元(PSU) 475:纜線 500:方塊 502:方塊 504:方塊 506:方塊 508:方塊 510:方塊 602:偏置供應器 660:控制器 702:偏置供應器 770:共同節點 860:波形之第一部分 862:波形之第二部分 864:波形之第三部分 866:波形之第四部分 1202:偏置供應器 1204:開關控制器 1260:控制器 1270:監測電路系統 1272:腔室分析組件 1274:控制電路系統 1312:顯示器部分 1320:非揮發性記憶體 1322:匯流排 1324:隨機存取記憶體(「RAM」) 1326:處理部分 1327:場可程式閘陣列(FPGA) 1328:收發器組件 1330:輸入組件 1332:輸出部分 C1:固有電容 Csheath:鞘電容 L1:共同電感性元件/第一電感性元件/電感器 L2:第二電感性元件 S1:第一開關 S2:第二開關 V1:第一電壓 V2:第二電壓 V3:第三電壓 Va:電壓/第一電壓位準 Vb:第二電壓位準 V0:電壓 Vout:經量測輸出電壓/輸出節點 Vsheath:鞘電壓
[圖1]為描繪具有複數個偏置區帶之電漿處理系統的示意圖; [圖2]描繪具有複數個偏置區帶之另一電漿處理系統; [圖3]描繪具有複數個偏置區帶之又一電漿處理系統; [圖4]描繪具有複數個偏置區帶之另一電漿處理系統; [圖5]為描繪可結合本文中所揭示之具體實例詳細研究的方法之流程圖; [圖6]為描繪例示性控制系統之態樣的示意圖; [圖7]為描繪例示性偏置供應器之態樣的示意圖; [圖8]包括自偏置供應器輸出之電壓波形的曲線圖、對應的鞘電壓之曲線圖及對應的開關時序圖; [圖9]為描繪例示性偏置供應器波形及例示性電壓值之曲線圖;及 [圖10A]描繪使用兩個電壓源以將電壓提供至圖7中所描繪之偏置供應器的實施; [圖10B]描繪使用兩個電壓源以將電壓提供至圖7中所描繪之偏置供應器的另一實施; [圖10C]描繪使用兩個電壓源以將電壓提供至圖7中所描繪之偏置供應器的又一實施; [圖11A]描繪使用三個電壓源以將電壓提供至圖7中所描繪之偏置供應器的實施; [圖11B]描繪使用三個電壓源以將電壓提供至圖7中所描繪之偏置供應器的另一實施; [圖11C]描繪使用三個電壓源以將電壓提供至圖7中所描繪之偏置供應器的又一實施; [圖12]描繪與控制系統結合之例示性偏置供應器之態樣的示意圖;及 [圖13]為描繪例示性計算裝置之方塊圖。
100:電漿處理腔室
105:隔離環
107:隔離圓柱
245:電平面
249:電平面
255:電平面
275:下部電極
285:頂部電極
300:源產生器
350:匹配網路
400:eV開關
402:偏置供應器
450:DC電力供應單元(PSU)
475:纜線

Claims (1)

  1. 一種用於電漿處理之系統,該系統包含: 一電漿處理腔室; 一或多個激發源,其用以在該電漿處理腔室中提供一電漿; 至少兩個分離電平面,其配置於該電漿處理腔室內,以致能對接近於該至少兩個分離電平面之電漿鞘之控制; 一夾盤,其安置成支撐一基板;及 至少一控制器,其經組態以: 取得至少一離子電流量測,其中該至少一離子電流量測是接近於該至少兩個分離電平面中之一相對應電平面之離子電流之一指示;且 基於該至少一離子電流量測來控制該一或多個激發源,以控制接近於該至少兩個分離電平面中之該相對應電平面之電漿密度。
TW111150645A 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體 TW202329762A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762588224P 2017-11-17 2017-11-17
US62/588,224 2017-11-17

Publications (1)

Publication Number Publication Date
TW202329762A true TW202329762A (zh) 2023-07-16

Family

ID=66532551

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111150645A TW202329762A (zh) 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TW110136912A TWI792598B (zh) 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TW107140922A TWI744566B (zh) 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW110136912A TWI792598B (zh) 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TW107140922A TWI744566B (zh) 2017-11-17 2018-11-16 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體

Country Status (7)

Country Link
US (4) US10707055B2 (zh)
EP (2) EP4376061A2 (zh)
JP (2) JP7289313B2 (zh)
KR (1) KR20200100642A (zh)
CN (1) CN111788655B (zh)
TW (3) TW202329762A (zh)
WO (1) WO2019099925A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
TW202329762A (zh) * 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2022541004A (ja) * 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021206684A1 (en) * 2020-04-06 2021-10-14 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) * 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399183A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) * 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230238216A1 (en) * 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240145215A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Pulsed voltage plasma processing apparatus and method

Family Cites Families (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2548017B1 (fr) 1983-06-16 1986-08-29 Tissot Jean Perfectionnements aux appareils de massage pneumatique
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH0833862B2 (ja) 1989-10-23 1996-03-29 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン オブジエクト指向コンピユータ・システム
US5179264A (en) 1989-12-13 1993-01-12 International Business Machines Corporation Solid state microwave powered material and plasma processing systems
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5410691A (en) 1990-05-07 1995-04-25 Next Computer, Inc. Method and apparatus for providing a network configuration database
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
KR970005035B1 (ko) 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5517084A (en) 1994-07-26 1996-05-14 The Regents, University Of California Selective ion source
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
KR100542459B1 (ko) 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
AU2001239906A1 (en) 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US6577113B2 (en) * 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
JP4088499B2 (ja) 2002-08-28 2008-05-21 株式会社ダイヘン インピーダンス整合器の出力端特性解析方法、およびインピーダンス整合器、ならびにインピーダンス整合器の出力端特性解析システム
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
EP1689907A4 (en) 2003-06-19 2008-07-23 Plasma Control Systems Llc METHOD AND DEVICE FOR PRODUCING PLASMA, AND RF ATTACK CIRCUIT WITH ADJUSTABLE USE FACTOR
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4411282B2 (ja) 2003-11-27 2010-02-10 株式会社ダイヘン 高周波電力供給システム
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4739793B2 (ja) 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
KR20080072642A (ko) 2005-10-31 2008-08-06 엠케이에스 인스트루먼츠, 인코포레이티드 고주파 전력 전달 시스템
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
JP2007336148A (ja) 2006-06-14 2007-12-27 Daihen Corp 電気特性調整装置
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7811939B2 (en) 2006-03-27 2010-10-12 Tokyo Electron Limited Plasma etching method
EP1845755A3 (en) 2006-04-10 2014-04-02 EMD Technologies, Inc. Illumination systems
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2007311182A (ja) 2006-05-18 2007-11-29 Tokyo Electron Ltd 誘導結合プラズマ処理装置およびプラズマ処理方法
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
JP2008157906A (ja) 2006-12-25 2008-07-10 Adtec Plasma Technology Co Ltd 出力インピーダンス検出方法およびこの方法を用いたインピーダンスのセンサー、高周波電源につながる負荷側の電力モニターならびに高周波電源の制御装置
US7884025B2 (en) * 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US8181490B2 (en) 2007-04-25 2012-05-22 Seamless Technologies. LLC Vacuum sealed paint roller cover package and method of making the same
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
JP5231038B2 (ja) 2008-02-18 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
JP5124344B2 (ja) 2008-05-26 2013-01-23 株式会社アルバック バイポーラパルス電源及び複数のバイポーラパルス電源からなる電源装置並びに出力方法
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
EP2299922B1 (en) 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
JP5372419B2 (ja) 2008-06-25 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP4580040B2 (ja) 2008-07-31 2010-11-10 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011032149A2 (en) 2009-09-14 2011-03-17 Board Of Regents, The University Of Texas System Bipolar solid state marx generator
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
KR101675625B1 (ko) 2011-01-04 2016-11-22 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 처리 부하에 대한 시스템 레벨 전원 공급
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
JP6203476B2 (ja) * 2011-03-08 2017-09-27 東京エレクトロン株式会社 基板温度制御方法及びプラズマ処理装置
JP5718124B2 (ja) 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130006555A1 (en) 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9283635B2 (en) 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9790282B2 (en) 2013-03-25 2017-10-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Anti-CD276 polypeptides, proteins, and chimeric antigen receptors
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6573325B2 (ja) * 2013-12-17 2019-09-11 東京エレクトロン株式会社 プラズマ密度を制御するシステムおよび方法
JP6231399B2 (ja) 2014-02-17 2017-11-15 キヤノンアネルバ株式会社 処理装置
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9659751B2 (en) 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) * 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102124407B1 (ko) 2016-01-18 2020-06-18 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US20210351007A1 (en) 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system

Also Published As

Publication number Publication date
US20220285131A1 (en) 2022-09-08
JP7289313B2 (ja) 2023-06-09
US20190157043A1 (en) 2019-05-23
US11842884B2 (en) 2023-12-12
TW202218487A (zh) 2022-05-01
JP2021503701A (ja) 2021-02-12
EP4376061A2 (en) 2024-05-29
TW201933422A (zh) 2019-08-16
TWI792598B (zh) 2023-02-11
US20210005428A1 (en) 2021-01-07
WO2019099925A1 (en) 2019-05-23
US11282677B2 (en) 2022-03-22
CN111788655B (zh) 2024-04-05
US20230395354A1 (en) 2023-12-07
KR20200100642A (ko) 2020-08-26
CN111788655A (zh) 2020-10-16
TWI744566B (zh) 2021-11-01
EP3711081A1 (en) 2020-09-23
EP3711081A4 (en) 2021-09-29
US10707055B2 (en) 2020-07-07
JP2023113754A (ja) 2023-08-16

Similar Documents

Publication Publication Date Title
TWI792598B (zh) 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US20240071721A1 (en) System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
JP7235761B2 (ja) プラズマ処理源および基板バイアスの同期パルス化
US10250217B2 (en) Method for impedance matching of plasma processing apparatus
CN107978506B (zh) 控制开关模式离子能量分布系统的方法
JP2022541004A (ja) 単一制御型スイッチを伴うバイアス供給装置
TWI801845B (zh) 用於提供電壓之系統和設備以及相關的非暫時性有形處理器可讀取儲存媒體
WO2014035889A1 (en) Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US20230050841A1 (en) Configurable bias supply with bidirectional switch
TW202233026A (zh) 電漿處理環境的空間控制
US20230395355A1 (en) Synchronization of bias supplies