JP7289313B2 - プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御 - Google Patents

プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御 Download PDF

Info

Publication number
JP7289313B2
JP7289313B2 JP2020545047A JP2020545047A JP7289313B2 JP 7289313 B2 JP7289313 B2 JP 7289313B2 JP 2020545047 A JP2020545047 A JP 2020545047A JP 2020545047 A JP2020545047 A JP 2020545047A JP 7289313 B2 JP7289313 B2 JP 7289313B2
Authority
JP
Japan
Prior art keywords
bias
plasma
processing chamber
plasma processing
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020545047A
Other languages
English (en)
Other versions
JP2021503701A (ja
Inventor
デニス ショー,
ケビン フェアバーン,
ダニエル カーター,
Original Assignee
エーイーエス グローバル ホールディングス, プライベート リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーイーエス グローバル ホールディングス, プライベート リミテッド filed Critical エーイーエス グローバル ホールディングス, プライベート リミテッド
Publication of JP2021503701A publication Critical patent/JP2021503701A/ja
Priority to JP2023088417A priority Critical patent/JP2023113754A/ja
Application granted granted Critical
Publication of JP7289313B2 publication Critical patent/JP7289313B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

(第35 U.S.C. §119号に基づく優先権の主張)
特許に関する本願は、「SPATIAL AND TEMPORAL CONTROL OF ION BIAS VOLTAGE FOR PLASMA PROCESSING」と題され、2017年11月17日に出願され、本願の譲受人に譲渡され、本明細書を参照することによって本明細書に明確に組み込まれる、仮出願第62/588,224号の優先権を主張する。
本開示は、概して、プラズマ処理に関する。特に、限定としてではないが、本開示は、電力供給源を用いてプラズマ処理環境を修正するためのシステム、方法、および装置に関する。
プラズマ処理チャンバに関する課題は、基板の上方、特に、基板の縁の周囲のプラズマシースの均一性を制御することである。基板の縁、埋設電気面の縁、絶縁リング、および他のチャンバ関連アーチファクトによって引き起こされる不連続性は、シース均一性に影響を及ぼし得、これは、基板に対するイオンの軌道を変化させ、結果として、基板の処理が、悪影響を受ける場合がある。
従来の試みは、これらの課題を緩和しようとするために、基板保持器、チャンバ形状、および他の物理的幾何学形状の物理的変化を使用している。しかし、これらのアプローチは、静的であり、柔軟性がなく、その他の点では不十分である。
ある側面は、プラズマ処理のためのシステムとして特徴付けられ得る。本システムは、処理チャンバ内にプラズマを提供するための源と、バイアス電極に近接するプラズマシースを制御するためにプラズマ処理チャンバ内に配列される、少なくとも2つのバイアス電極と、基板を支持するために配置される、チャックとを含む、プラズマ処理チャンバを含む。本システムはまた、少なくとも2つのバイアス電極に結合される、少なくとも1つのバイアス供給源と、少なくとも1つのバイアス供給源を制御し、非対称周期電圧波形を少なくとも2つのバイアス電極のそれぞれに印加し、バイアス電極に近接するプラズマシースを制御するためのコントローラとを含む。
別の側面は、プラズマ処理チャンバ内で基板を処理するための方法として特徴付けられ得る。本方法は、プラズマ処理チャンバ内にプラズマを生じるステップと、対応する複数のバイアス供給源を用いてプラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加するステップと、非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変するステップとを含む。
本発明は、例えば、以下の項目を提供する。
(項目1)
プラズマ処理のためのシステムであって、前記システムは、
プラズマ処理チャンバであって、
前記処理チャンバ内にプラズマを提供するための源と、
少なくとも2つのバイアス電極であって、前記少なくとも2つのバイアス電極は、バイアス電極に近接するプラズマシースを制御するために前記プラズマ処理チャンバ内に配列される、少なくとも2つのバイアス電極と、
基板を支持するために配置されるチャックと
を含む、プラズマ処理チャンバと、
前記少なくとも2つのバイアス電極に結合される少なくとも1つのバイアス供給源と、
コントローラであって、前記コントローラは、前記少なくとも1つのバイアス供給源を制御し、非対称周期電圧波形を前記少なくとも2つのバイアス電極のそれぞれに印加し、前記バイアス電極に近接する前記プラズマシースを制御する、コントローラと
を備える、システム。
(項目2)
前記源は、遠隔プラズマ源または源発生器のうちの少なくとも1つを含む、項目1に記載のシステム。
(項目3)
前記チャックは、前記少なくとも2つのバイアス電極が、前記基板に対するイオンの軌道またはイオンのエネルギーの空間分布のうちの少なくとも1つに影響を及ぼす前記シースの一部を改変することを可能にするために、前記プラズマのシースと前記少なくとも2つのバイアス電極との間に配置される、項目1に記載のシステム。
(項目4)
前記少なくとも2つのバイアス電極のうちの1つの一部は、前記基板の縁に対するイオンの軌道またはイオンのエネルギーの空間分布のうちの少なくとも1つに影響を及ぼす前記シースの一部を改変するために、前記チャックの縁に沿って配置される、項目3に記載のシステム。
(項目5)
前記コントローラは、
前記少なくとも1つのバイアス供給源によって印加される電力の少なくとも1つの特性を測定するための監視回路と、
チャンバ分析コンポーネントであって、前記チャンバ分析コンポーネントは、前記監視回路から取得された前記電力の測定される特性に基づいて、前記プラズマ処理チャンバ内の環境の特性を決定するように構成される、チャンバ分析コンポーネントと、
制御回路であって、前記制御回路は、前記少なくとも1つのバイアス供給源によって印加される前記電力を調節し、前記バイアス電極に近接する前記プラズマシースを制御する、制御回路と
を含む、項目1に記載のシステム。
(項目6)
前記チャンバ分析コンポーネントは、前記バイアス電極に近接する前記プラズマシースのシース静電容量を計算するように構成され、前記制御回路は、前記シース静電容量を調節するために印加される前記電力の少なくとも1つの特性を調節するように構成される、項目5に記載のシステム。
(項目7)
前記コントローラは、前記少なくとも1つのバイアス供給源内に統合される統合コントローラまたは前記プラズマ処理システムの複数のコンポーネントを制御するシステムコントローラのうちの少なくとも1つを含む、項目5に記載のシステム。
(項目8)
前記プラズマ電極に結合される源発生器を含む、項目1に記載のシステム。
(項目9)
前記源発生器は、RF発生器である、項目2に記載のシステム。
(項目10)
プラズマ処理チャンバ内で基板を処理するための方法であって、前記方法は、
前記プラズマ処理チャンバ内にプラズマを生じることと、
対応する複数のバイアス供給源を用いて前記プラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加することと、
前記非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変することと
を含む、方法。
(項目11)
前記プラズマ処理チャンバ内の基板に近接して位置付けられる複数の区域のそれぞれに前記非対称周期電圧波形を印加することを含む、項目10に記載の方法。
(項目12)
前記基板の縁に対応する区域に前記非対称周期電圧波形のうちの少なくとも1つを印加することを含む、項目10に記載の方法。
(項目13)
前記基板の縁に対応する区域に前記非対称周期電圧波形を印加し、前記基板の縁に近接する前記プラズマの密度を抑制することを含む、項目12に記載の方法。
(項目14)
前記少なくとも1つの源発生器および前記バイアス供給源のうちの1つを共通電極に結合することを含む、項目10に記載の方法。
(項目15)
1つ以上の上部区域への非対称周期電圧波形を調節し、前記プラズマ処理チャンバの上板に近接するプラズマシースの対応する1つ以上の部分を改変することを含む、項目10に記載の方法。
(項目16)
前記バイアス供給源のうちの1つ以上のものによって前記区域のうちの1つ以上のものに印加される前記非対称周期電圧波形の特性を測定することと、
前記電力の測定される特性に基づいて、前記プラズマ処理チャンバ内の環境の特性を計算することと、
前記少なくとも1つのバイアス供給源によって印加される前記非対称周期電圧波形を調節し、前記1つ以上の区域に近接する前記プラズマシースを制御することと
を含む、項目10に記載の方法。
(項目17)
非一過性コンピュータ可読媒体であって、前記非一過性コンピュータ可読媒体は、その上に記憶される命令を備え、前記命令は、プロセッサによる実行のために、またはフィールドプログラマブルゲートアレイを構成するために、プラズマ処理を実施するためのものであり、前記命令は、
プラズマ処理チャンバ内にプラズマを生じるように遠隔プラズマ源または源発生器のうちの少なくとも1つを制御することと、
前記プラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加するように複数のバイアス供給源を制御することと、
前記非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変するように前記複数のバイアス供給源のうちの1つ以上のものを制御することと
を行うための命令を含む、非一過性コンピュータ可読媒体。
図1は、複数のバイアス区域を伴うプラズマ処理システムを描写する、略図である。
図2は、複数のバイアス区域を伴う別のプラズマ処理システムを描写する。
図3は、複数のバイアス区域を伴うさらに別のプラズマ処理システムを描写する。
図4は、複数のバイアス区域を伴う別のプラズマ処理システムを描写する。
図5は、本明細書に開示される実施形態と関連して考察され得る方法を描写する、フローチャートである。
図6は、例示的制御システムの側面を描写する、略図である。
図7は、例示的バイアス供給源の側面を描写する、略図である。
図8は、バイアス供給源から出力される電圧波形のグラフ、対応するシース電圧のグラフ、および対応するスイッチタイミング図を含む。
図9は、例示的バイアス供給源波形および例示的電圧値を描写する、グラフである。
図10Aは、図7に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用する実装を描写する。図10Bは、図7に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用する別の実装を描写する。図10Cは、図7に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用するさらに別の実装を描写する。
図11Aは、図7に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用する実装を描写する。図11Bは、図7に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用する別の実装を描写する。図11Cは、図7に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用するさらに別の実装を描写する。
図12は、制御システムと関連する例示的バイアス供給源の側面を描写する、略図である。
図13は、例示的コンピューティングデバイスを描写する、ブロック図である。
本開示は、概して、空間的および時間的の両方で、容量(または誘導)結合プラズマの均一性および強度を制御するためのシステム、方法、および装置を説明する。
単語「例示的」は、「ある実施例、事例、または例証としての役割を果たすこと」を意味するように本明細書に使用される。「例示的」として本明細書に説明される任意の実施形態は、必ずしも他の実施形態よりも好ましい、または有利として解釈されるものではない。また、本明細書における任意の以前の公開(またはこれに由来する情報)または公知である任意の事項の任意の言及は、以前の公開(またはこれに由来する情報)または公知の事項が従来通りである、ルーチンである、または本明細書が関連する取り組みの分野における共通の一般的知識の一部を形成することを認容または承認するわけではない、またはそれを示唆するいかなる形態でもない。
前置きとして、以下の図におけるフローチャートおよびブロック図は、本開示の種々の実施形態による、システム、方法、およびコンピュータプログラム製品の可能性として考えられる実装のアーキテクチャ、機能性、および動作を図示する。この点で、これらのフローチャートまたはブロック図におけるいくつかのブロックは、規定された論理機能を実装するための1つ以上の実行可能命令を備える、コードのモジュール、セグメント、または一部を表し得る。また、いくつかの代替実装では、ブロックに記述される機能は、図に記述される順序以外で起こり得ることに留意されたい。例えば、連続して示される2つのブロックは、実際には、実質的に並行して実行されてもよい、またはブロックは、時として、関与する機能性に応じて、逆の順序で実行されてもよい。また、ブロック図および/またはフローチャート図の各ブロック、およびブロック図および/またはフローチャート図におけるブロックの組み合わせは、規定された機能または行為を実施する専用ハードウェアベースシステムまたは専用ハードウェアおよびコンピュータ命令の組み合わせによって実装され得ることに留意されたい。
以下の開示における使用事例は、ウエハプラズマ処理を含むが、実装は、プラズマチャンバ内での任意の基板処理を含むことができる。いくつかの事例では、基板以外の物体が、本明細書に開示されるシステム、方法、および装置を使用して処理されることができる。言い換えると、本開示は、物理的または化学的手段による表面変化、表面下変化、堆積、または除去をもたらすために、低大気圧プラズマ処理チャンバ内での任意の物体のプラズマ処理に適用される。
本開示は、必要ではないが、第US9287092号、第US9287086号、第US9435029号、第US9309594号、第US9767988号、第US9362089号、第US9105447号、第US9685297号、第US9210790号に開示されるようなプラズマ処理および基板バイアス技法を利用してもよい。これらの特許の全体が、参照することによって本明細書に組み込まれる。
本開示の目的のために、「励起源」、「源発生器」、「RF源」、または「RF電力供給源」は、そのエネルギーが、主として、プラズマの発生および持続を対象とするものである一方、「バイアス供給源」は、そのエネルギーが、主として、プラズマからイオンおよび電子を誘引するための表面電位の発生を対象とするものである。源発生器およびバイアス供給源の周波数は、種々の因子(例えば、用途特有因子)に応じて変動するが、多くの実施形態では、源発生器は、13.56MHzおよびそれを上回る周波数で動作し、バイアス供給源は、2MHzを下回る(例えば、限定ではないが、2MHz~400kHz)周波数で動作する。他の実施形態では、源供給源の周波数は、13.56MHzを下回り、バイアス供給源が、2MHzを超える周波数で動作し得ることが検討される。多くの用途では、源供給源の周波数は、バイアス供給源の周波数を上回る。
図1-4はそれぞれ、類似する面積の上部電極285(例えば、カソード)および下部(基板)電極275(例えば、アノード)を伴う略円筒形対称プラズマ処理チャンバ100を含む、例示的プラズマ処理システムを描写する。プラズマ処理チャンバ100の幾何学形状の本簡略化は、解説を容易にするが、本開示の種々の実装に対する限定であるべきではない。例えば、プラズマ処理チャンバ100は、円筒形以外の形状を有してもよく(例えば、処理チャンバ100は、長方形形状を有してもよい)、対称的ではない場合がある。他の実施形態では、上部および下部電極285、275は、同一の形状および/またはサイズを有していない場合がある。
図1-4はまた、その中に埋設される電気面245、249、255を伴う絶縁体としての電極275、285を示す。しかしながら、他の実施形態では、電極275、285は、伝導性材料または絶縁コーティングを伴う金属を含んでもよい。より一般的には、電極275、285は、エネルギーをプラズマに容量的に結合する(上部電極285)、または基板200の表面上でバイアス電圧を容量的に発生させる(下部電極275)ことが可能である任意の構造として実装されることができる。図1-4は、プラズマチャンバ100内のプラズマに容量結合されている源発生器からのRF電力を描写するが、RFエネルギーはまた(または代替として)、源発生器300からプラズマ処理チャンバ100に誘導結合され得ることを認識されたい。したがって、エネルギー結合コンポーネントは、電気面255の代わりに、誘導要素(例えば、コイル)であってもよい。いくつかの実施形態では、源発生器(例えば、源発生器)を利用する代わりに、または源発生器を使用することに加えて、プラズマが、遠隔プラズマ源によってプラズマチャンバに提供される。
基板200は、物理的または化学的手段による表面変化、表面下変化、堆積、または除去をもたらすためにプラズマによって処理される、任意の物体またはアイテムであり、いくつかの事例では、表面であり得る。
図1は、ケーブル475または他の導体によって整合350を通してエネルギー結合コンポーネント(例えば、埋設電気面255)に接続される源発生器300(「RF源」とも称される)によって給電される上部電極285を伴うプラズマ処理チャンバ100を図示する。上部電極285は、絶縁リング105によってプラズマ処理チャンバ100の本体から絶縁される。真空壁の内壁は、本実施例では、絶縁シリンダ107によってプラズマ電位から絶縁される。上部電極285からのRF励起は、プラズマを発生させ、維持し、プラズマ密度を制御するために使用される。基板200が、下部電極275上に位置するように示される。本電極275は、絶縁リング110によってプラズマチャンバから絶縁される。本実施形態は、それぞれ、DC電力供給源ユニット(PSU)450およびeVスイッチ400を含み得る、2つのバイアス供給源402を含む。
プラズマ処理チャンバに関する課題は、基板200の上方、特に、基板の縁の周囲のプラズマシースの均一性を制御することである。基板の縁、埋設電気面の縁、絶縁リング110、および他のチャンバ関連アーチファクトによって引き起こされる不連続性は、シース均一性、したがって、基板の処理均一性に影響を及ぼし得る。従来の試みは、これらの課題を緩和しようとするために、基板保持器、チャンバ形状、および他の物理的幾何学形状の物理的変化を使用した。加えて、多くの従来のアプローチは、対称(例えば、正弦波)出力で動作する(例えば、波形の最初の半サイクルは、波形の最後の半サイクルにおける対応する対称成分を有する)。しかし、基板に正弦波形を印加することは、イオンエネルギーの広い分布を誘発し、これは、所望のエッチングプロファイルを実行するプラズマプロセスの能力を限定する。本開示は、より動的かつ柔軟なアプローチを用いてこれらの不均一性および不足に対処する。
一般に、図1は、複数のバイアス供給源402を描写し、各バイアス供給源402は、プラズマ処理チャンバ100内に複数の区域(本明細書ではバイアス区域とも称される)を形成するために、対応する電気面に結合される。図1は、2つの区域を描写するが、実施形態は、多くの2つを上回る区域を含み得ることを認識されたい。図1に示されるように、バイアス供給源402のうちの1つは、中心電気面249に結合され、別のバイアス供給源402は、外側埋設電気面245に接続される。バイアス供給源402はそれぞれ、他のバイアス供給源から独立して制御されることができる。2つの埋設面245、249および2つのバイアス供給源402を使用することは、電極275を横断する不均一なバイアスを可能にする。例えば、より高いバイアスが、中心埋設面249よりも外側埋設面245に印加され得、または逆もまた同様である。代替として、異なるパルス化形態が、各埋設面245、249に印加されることができる。不均一なバイアスが印加され得るが、結果は、基板200上の均一な表面電位であり得る。言い換えると、本複数埋設面方法は、プラズマ、基板等の不均一性を軽減し、それによって、基板の上方の均一なプラズマシースを達成するために使用されることができる。
2つのバイアス供給源のデューティサイクルもまた、プラズマシステムにおける不均一性効果に起因する処理速度の異なる速度を補償するために(同一の電圧でバイアス供給源402を実行しながら)変動されてもよい。または、バイアス供給源402は、所望の処理均一性をもたらすために、(バイアス供給源の間で)異なる電圧または異なるデューティサイクルおよび異なる電圧の両方の組み合わせにおいて実行されてもよい。埋設電気面および対応するバイアス供給源の付加的細分割もまた、利用されてもよい(例えば、2つ以上の埋設面および対応するバイアス供給源402が、実装されることができる)。別個のバイアス供給源402が示されるが、実践では、これらは、異なる出力を伴うが、共通のDC電圧源を伴う1つのユニットに統合され得る(例えば、2つ以上のeVスイッチにフィードする単一のDC電力供給源ユニット)。さらに、eV源の出力は、異なる埋設電気面の間で分圧器を用いて分割され得る。
図2は、図1に示される実施形態のさらなる変形例を図示する。本事例では、基板200の外縁の下方に配列されるのではなく、外側埋設電極245は、少なくとも部分的に、絶縁リング110の真下に配列される。これは、絶縁体リング110の上方のバイアスおよびプラズマシース均一性の制御を可能にする。ある場合には、バイアス供給源402が付与するバイアスは、絶縁体リング110の上方のRFプラズマ誘発バイアスを排除し、プロセスの消耗品としての絶縁体リング110の処理を回避するか、または反対に、絶縁体リング110のプラズマ処理を強化するかのいずれかのために制御されることができる。
図3は、図2に示される実施形態のまたさらなる変形例を図示する。本事例では、外側埋設電極245は、絶縁体リング110の内側にあり、絶縁体リング110の上方のバイアスおよびシース均一性を制御する。ある場合には、バイアス供給源が付与するバイアスは、RFプラズマ誘発バイアスを排除し、プロセスの消耗品としての絶縁体リング110の処理を回避するか、または反対に、絶縁体リング110のプラズマ処理を強化するかのいずれかのために制御されることができる。
図4は、1つ以上のバイアス供給源402が1つ以上の上部電極に結合され、1つ以上のRF源が別の1つ以上のバイアス供給源402とともに(整合ネットワーク350を通して)底部電極に結合される実施形態を図示する。バイアス供給源402および源発生器300を絶縁するために要求され得るフィルタは、示されないが、当業者によって実装されることができる。eV源のデューティサイクルおよび/または電圧レベルを変動することによって、プラズマ均一性は、改変され、基板の処理均一性に影響を及ぼすことができる。上部電極285の材料は、基板の処理において使用されてもよく、したがって、バイアス供給源402のバイアスレベルを、振幅、時間、均一性、またはこれらの組み合わせにおいて制御することによって、基板200の処理の均一性および速度は、制御されてもよい。上部電極285の表面の上方のRF誘発イオンバイアス電圧を抑制することが、所望され得、その場合、上部電極285における電気面257、259に結合されるバイアス供給源402は、本バイアス電圧を消去するために使用されることができる。RF誘発電圧が不均一である場合、複数のバイアス供給源402(例えば、2つ以上のバイアス供給源402)が、これらの不均一性に対抗するために使用されることができる。
別の実施形態では、バイアス供給源402のうちの1つ以上のものは、源発生器300のパルス化および/または電圧変化と同期して、パルス化される、および/またはその電圧を変調させることができる。例えば、バイアス供給源402のうちの1つ以上のものがバイアス電圧を第1のバイアス電圧から第2のバイアス電圧に低下させる周期の間、源発生器300は、その出力をパルス化する、その電圧を低下させる、またはその電圧出力をパルス化することおよび低下させることの両方を行ってもよい。
これらの概念は、バイアス供給源402および源発生器300の例証される数に限定されるべきではない。むしろ、多くの源(例えば、多くのバイアス供給源402および多くの源発生器300)が、使用されることができ、例えば、プラズマ密度の複雑な領域特有の制御(例えば、プラズマ密度均一性を達成するため)が、所望される。また、源の数は、電極の数と合致する必要はないことを理解されたい。例えば、2つの非限定的実施例を与えるために、4つの源発生器300が、3つの電極を駆動することができる、または2つの源発生器が、5つの電極を駆動することができる。さらに、各源は、対応する整合ネットワークを有してもよい、または単一の整合ネットワークが、2つ以上の源に結合され、それをインピーダンス整合してもよい。2つ以上の電極が1つ以上のバイアス供給源402に結合される場合、これらの電極は、対称(例えば、同心リング)または非対称(例えば、基板および/またはチャンバにおける非対称性を考慮するため)であり得る。
さらに、2つ以上のバイアス供給源402が実装される場合、各バイアス供給源は、局在化イオン電流(したがって、イオンエネルギーおよびイオン密度)および局在化シース静電容量を決定するために使用されることができる。
イオン電流Iが、以下として与えられ得る。
Figure 0007289313000001
式中、C1は、絶縁体、基板、基板支持体、およびeチャックを含み得る、チャンバと関連付けられるコンポーネントの固有の静電容量を表す。
シース静電容量Csheathが、以下として与えられ得る。
Figure 0007289313000002
複数のバイアス供給源402およびチャンバ内の異なる場所におけるイオン電流、したがって、イオン密度を測定するそれらの対応する能力は、バイアス供給源402および/または源発生器300のためのフィードバックとして利用されることができる。また、シース静電容量が、シース静電容量を制御し、プラズマシースに影響を及ぼすためのパラメータ値として計算および利用されてもよい。代替として、または加えて、本フィードバックは、処理チャンバ100または源300、402の任意の電気的および/または機械的特徴を制御するために使用されることができる。代替として、または加えて、本フィードバックは、以下のうちのいずれか1つ以上のもの、すなわち、プラズマ処理チャンバ100の磁石(例えば、プラズマを閉じ込める、または成形するために使用される磁石、またはマイクロ波プラズマ源を介して発生されたイオンを指向するために使用される磁石)、圧力制御(例えば、圧力弁)、質量流量制御、ガス流量制御、ガス化学制御、およびチャンバまたはその中のコンポーネントの物理的幾何学形状(例えば、接地されたシリコンキャップまたは蓋の垂直移動)を制御するために使用されることができる。種々の公知のRF源(例えば、容量、誘導、マイクロ波等)の詳細な説明は、これらが当技術分野で周知であるため、ここでは適切ではないことをさらに理解されたい。しかしながら、本明細書に説明されるフィードバックおよび同期は、任意の公知のRF源に適用可能である。
次に図5を参照すると、示されるものは、本明細書に開示されるいくつかの実施形態と関連して考察され得る方法を描写する、フローチャートである。本方法は、複数の区域を伴うプラズマ処理チャンバを提供するステップを含む(ブロック500)。図1-4を参照して説明されるように、区域はそれぞれ、対応する電気面と関連して実現されてもよい。例えば、電気面245、249、250、257、259はそれぞれ、プラズマ処理チャンバ100内に確立されるプラズマのシースの一部に影響を及ぼすために、(バイアス供給源402から周期電圧波形を付与されると)対応する区域を確立し得る。加えて、基板200が、プラズマ処理チャンバ100内に設置され(ブロック502)、プラズマが、源発生器を用いてプラズマ処理チャンバ100内に発生される(ブロック504)。示されるように、バイアス波形(例えば、非対称周期電圧波形)が、複数の区域のそれぞれに印加され(ブロック506)、プラズマ処理チャンバ100の環境の1つ以上の特性が、監視される(ブロック508)。1つ以上の特性の監視は、プラズマ処理チャンバ内のセンサまたはプローブによって、および/またはバイアス供給源402または源発生器300によって印加される電力の1つ以上の側面を(プラズマ処理チャンバの外側を)監視することによって実装されてもよい。監視(ブロック508)に応答して、複数の区域のうちの1つ以上のものによって印加されるバイアス波形(例えば、非対称周期電圧波形)が、調節される(ブロック510)。
図6を参照すると、示されるものは、本明細書における実施形態と関連して使用され得る例示的制御システムの側面である。また、示されるものは、シース静電容量(Csheath)、および絶縁体、基板、基板支持体、およびeチャックを含み得る、プラズマ処理チャンバ100と関連付けられるコンポーネントの固有の静電容量を表す静電容量C1の表現である。
示されるように、電流および/または電圧が、プラズマ処理チャンバ100の環境の1つ以上の特性を間接的に監視するために、コントローラ660によって測定されてもよい(ブロック508)。プラズマ処理チャンバ100の環境の例示的特性は、測定される出力電圧Voutを使用する方程式2を用いて計算され得る、シース静電容量(Csheath)であり得る。
監視(ブロック508)は、記憶される(例えば、シース静電容量および/またはプラズマ処理チャンバの環境の他の特性についての)データを取得するために基板を処理することに先立って実施されてもよく、次いで、データは、バイアス波形を調節するために利用される(ブロック510)(例えば、フィードフォワード様式で)。ブロック508における監視はまた、プラズマ処理の間に実施されてもよく、(例えば、バイアス供給源602の電圧および/またはデューティサイクルを調節することによる)ブロック510における調節は、例えば、図6に示されるような電圧および/または電流測定値を使用するリアルタイムフィードバックを使用して行われてもよい。
次に図7を参照すると、示されるものは、バイアス供給源402、602を実現するために使用され得る例示的バイアス供給源702の一般的表現である。示されるように、バイアス供給源702は、3つの電圧V1、V2、およびV3を利用する。出力Voutは、Cチャックを通して容量結合されるため、概して、VoutのDCレベルを制御することは、必要ではなく、3つの電圧は、接地(0V)であるようにV1、V2、またはV3のうちの1つを選定することによって、2つに低減されることができる。別個のチャック供給源が、使用されてもよく、したがって、VoutのDCレベルを制御することは、必要ではない。別個のチャック供給源が使用されない場合、全ての3つの電圧は、VoutのDCレベルを制御するために制御されることができる。明確化のために示されないが、2つのスイッチS1およびS2は、下記に開示されるように、スイッチコントローラがスイッチS1、S2を開および閉にすることを可能にするために、電気または光学接続を介してスイッチコントローラによって制御され得る。描写されるスイッチS1、S2は、単極、単投スイッチによって実現されてもよく、非限定的実施例として、スイッチS1、S2は、炭化ケイ素金属酸化膜電界効果トランジスタ(SiC MOSFET)によって実現されてもよい。
本実装では、電圧V1、V2、およびV3は、DC源電圧であってもよい。示されるように、第1のスイッチS1は、誘導要素を通して、第1の電圧V1を出力Voutに切替可能に接続するように配置され、第2のスイッチS2は、誘導要素を通して、第2の電圧V2を出力Voutに切替可能に結合するように配置される。本実装では、2つのスイッチは、共通ノード670に接続し、共通誘導要素L1が、共通ノードと出力ノードVoutとの間に配置される。誘導要素の他の配列も、可能性として考えられる。例えば、1つの誘導要素がS1をVoutに接続し、別のものがS2をVoutに接続する、2つの別個の誘導要素が存在してもよい。別の実施例では、1つの誘導要素が、S1をS2に接続してもよく、別の誘導要素が、S1またはS2のいずれかをVoutに接続してもよい。
図7を参照しながら、1)Voutにおいて出力されるバイアス供給源702の非対称周期電圧波形のサイクル、2)対応するシース電圧、および3)スイッチS1およびS2の対応するスイッチ位置を描写する、図8が、同時に参照される。示されるように、バイアス供給源702によって出力される周期電圧波形は、非対称であり、したがって、電圧波形の最初の半サイクルは、電圧波形の最後の半サイクルの間に対応する対称成分を有していない。動作時、第1のスイッチS1は、電圧波形の第1の部分860(電圧V0とVaとの間)に沿って、出力ノードVoutにおける電圧のレベルを、第1の電圧レベルVaまで増加させるために瞬間的に閉にされる。レベルVaは、波形の第2の部分862に沿って維持される。第2のスイッチS2は、次いで、波形の第3の部分864に沿って、出力ノードVoutにおける電圧波形のレベルを、第2の電圧レベルVbまで減少させるために瞬間的に閉にされる。S1およびS2は、短い時間周期を除いて開であることに留意されたい。示されるように、第3の部分864に沿った負の電圧スイングは、シース電圧(Vsheath)に影響を及ぼし、したがって、Va-Vbの大きさは、シース電圧に影響を及ぼすように制御され得る。
本実施形態では、第1および第2のスイッチS1、S2が開である間、第3の電圧V3は、第2の誘導要素L2を通して出力ノードVoutに印加され、電圧波形の第4の部分866に沿って、出力ノードにおける電圧のレベルをさらに減少させる。図8に示されるように、第4の部分866に沿った負の電圧ランプは、基板に衝突するイオンを補償することによって、シース電圧を維持するために確立され得る。
したがって、S1は、第1の誘導要素L1を通して、第1の電圧V1を出力Voutに瞬間的に接続し、次いで、接続解除し、ある時間周期後、S2は、第1の誘導要素L1を通して、第2の電圧(例えば、接地)を出力Voutに接続し、次いで、接続解除する。第3の電圧V3は、第2の誘導要素L2を通して、出力Voutに結合される。本実装では、第1の電圧V1は、第3の電圧V3よりも高くあり得、出力Voutへの第1の電圧V1の瞬間的接続および接続解除は、出力Voutの電圧を、電圧波形の第1の部分860に沿って、第1の電圧レベルVaまで増加させ、第1の電圧レベルVaは、波形862の第2の部分に沿って持続される。第1の電圧レベルVaは、第1の電圧V1を上回り得、第2の電圧V2(例えば、接地)は、第1の電圧レベルVa未満であり得る。第2の電圧V2の瞬間的接続、次いで、接続解除は、出力の電圧を、第3の部分864において、第2の電圧V2(例えば、接地)を下回る第2の電圧レベルVbまで減少させる。
実施例として、図9に示されるように、V1は、-2,000VDCであり得、V2は、接地であり得、V3は、-5,000VDCであり得、V0は、-7,000VDCであり得、Vbは、-3,000VDCであり得、Vaは、3,000VDCであり得る。しかし、これらの電圧は、単に、図7および8を参照して説明される電圧の相対的大きさおよび極性に文脈を提供するための例示である。
次に図10A-10Cを参照すると、示されるものは、図7および9に描写される電圧V1、V2、およびV3を提供するための2つのDC電圧源の可能性として考えられる配列である。図10Aでは、V2は、接地され、2つのDC電圧源の間の共通ノードを形成する。図10Bでは、V1は、接地され、V2は、DC電圧源の間の共通ノードを形成する。また、図10Cでは、V1は、接地され、2つのDC電圧源のそれぞれの間の共通ノードを形成する。
いくつかの実施形態では、図11A、11B、および11Cに示されるように、3つのDC電圧源は、3つの電圧V1、V2、およびV3を印加するために利用されてもよい。図11Aに示されるように、3つのDC電圧源はそれぞれ、接地に結合されてもよく、3つのDC電圧源はそれぞれ、V1、V2、V3のうちの対応するものを提供する。図11Bでは、DC電圧源のうちの1つは、接地され、3つのDC電圧源は、直列に配列される。図11Cでは、DC電圧源のうちの1つは、接地とV2との間に配置され、DC電圧源はそれぞれ、V2に結合される。
次に図12を参照すると、示されるものは、バイアス供給源402、602を実現するために使用され得る例示的バイアス供給源1202である。示されるように、バイアス供給源1202は、第1の電圧V1、第2の電圧V2、および第3の電圧V3を提供するために、スイッチコントローラ1204と、2つの電圧源とを含む。明確化のために示されないが、2つのスイッチS1およびS2は、下記に開示されるように、スイッチコントローラ1204がスイッチS1、S2を開および閉にすることを可能にするために、(例えば、電気または光学接続を介して)スイッチコントローラ1204に結合される。描写されるスイッチS1、S2は、電気または光学信号によって制御可能である単極、単投、常時開スイッチによって実現されてもよい。非限定的実施例として、スイッチS1、S2は、炭化ケイ素金属酸化膜電界効果トランジスタ(SiC MOSFET)によって実現されてもよい。
また、示されるものは、各バイアス供給源の筐体内で実現され得る、または集中ツールコントローラの一部として実現され得る、例示的コントローラ1260である。示されるように、コントローラ1260は、バイアス供給源の出力Voutにおけるバイアス供給源1202によって印加される電力を示す情報(例えば、電圧および/または電流情報)を受信するために結合される。示されるように、コントローラ1260はまた、コントローラ1260がバイアス供給源1202を制御すること(例えば、バイアス電極に近接するプラズマシースを制御すること)を可能にするために、スイッチコントローラ1204および2つのDC電圧源に結合される。
加えて、コントローラ1260は、バイアス供給源1202によって印加される電力の少なくとも1つの特性を測定するための監視回路1270と、監視回路1270から取得された電力の測定される特性に基づいて、プラズマ処理チャンバ100内の環境の特性を計算するように構成される、チャンバ分析コンポーネント1272とを含む。また、コントローラ1260内に示されるものは、バイアス供給源1202によって印加される電力を調節し、バイアス電極に近接するプラズマシースを制御するための制御回路1274である。図12では、コントローラ1260およびスイッチコントローラ1204は、別個の構造として描写されるが、コントローラ1260およびスイッチコントローラ1204は、統合される、および/または共通基礎コンポーネントを共有し得ることを認識されたい。例えば、コントローラ1260およびスイッチコントローラ1204は、同一のプリント回路基板上に並置されてもよい。別の実施例として、コントローラ1260およびスイッチコントローラは、図13に描写されるコンピューティングデバイスと類似する、または同一のアーキテクチャを含むシステムによって実現されてもよい。
監視回路1270は、指向性結合器、V-Iセンサ、位相および利得センサ、電圧センサ、および電流センサ等の1つ以上のセンサを含んでもよい。当業者は、電力の測定される特性が、電圧、電流、位相、および電力を含み得ることを理解するであろう。加えて、監視回路1270は、センサからのアナログ信号を電力の測定される特性のデジタル表現に変換するために、アナログ/デジタル変換コンポーネントを含んでもよい。他の実装では、センサは、コントローラ1260と別個であり、監視回路1270は、センサからのアナログ信号を電力の測定される特性のデジタル表現に変換するために、アナログ/デジタル変換コンポーネントを含む。さらに他の実装では、センサは、感知要素と、アナログ/デジタル変換コンポーネントとを含み、監視回路1270は、電力の特性のデジタル表現を受信してもよい。プラズマ処理チャンバの環境の1つ以上の特性の監視は、少なくとも1つのバイアス供給源によって印加される電力の少なくとも1つの特性を(監視回路1270を用いて)測定することを含んでもよい。
チャンバ分析コンポーネント1272は、概して、監視回路1270から取得された電力の測定される特性に基づいて、プラズマ処理チャンバ内の環境の特性を決定するように構成される。電力が、プラズマ処理チャンバ100の外部である場所において(監視回路1270によって)測定され得るが、測定される電力特性は、プラズマ処理チャンバ100内の環境の特性を計算するために使用されてもよい。例えば、方程式1を使用して、バイアス区域に近接する領域内のイオン電流が、C1と関連するVoutにおける電圧の測定値を使用して計算されてもよい。別の実施例として、方程式2を使用して、バイアス区域に近接する領域内のシース静電容量が、計算されてもよい。
制御回路1274は、概して、バイアス供給源によって印加される電力を調節し、プラズマ処理チャンバ100内の環境の側面を調節するように動作する。例えば、(バイアス供給源1202によって確立される)ある区域に近接するプラズマシースが、調節されてもよい、および/またはイオン電流もまた、調節されてもよい。示されるように、コントローラ1260は、DC電圧源およびスイッチコントローラ1204に結合されてもよく、したがって、図8を参照すると、コントローラ1260は、電圧Va、電圧Vb、t1、T、および第4の部分866の勾配を調節するために使用されてもよい。図8を参照して議論されるように、バイアス供給源1202と関連付けられるバイアス区域に近接するプラズマシースの電圧は、調節されてもよい。
再び図12を参照すると、(図10Aに描写される実施形態を組み込む)本実装では、第2の電圧V2は、2つのDC電圧源に結合されかつ接地に結合されるノードにおいて提供されるが、(例えば、図10Bおよび10Cを参照して上記に説明される)他の実装では、第2の電圧V2は、接地される必要はない。示されるように、第1のスイッチS1は、第1の電圧V1を(S1およびS2に共通である)共通ノード770に切替可能に接続するように配置され、第2のスイッチS2は、第2の電圧V2を共通ノード770に切替可能に結合するように配置される。加えて、第1の誘導要素L1が、共通ノードと出力ノードVoutとの間に配置される。
動作時、スイッチコントローラ1204は、電圧波形の第1の部分860(電圧VとVaとの間)に沿って、出力ノードVoutにおける電圧のレベルを、波形の第2の部分862に沿って維持される第1の電圧レベルVaまで増加させるために、第1のスイッチS1を閉にするように構成され、次いで、第1のスイッチS1は、開にされる。スイッチコントローラ1204は、次いで、波形の第3の部分864に沿って、出力ノードVoutにおける電圧波形のレベルを、第2の電圧レベルVbまで減少させるために、第2のスイッチS2を閉にし、次いで、スイッチコントローラ704は、第2のスイッチS2を開にし、したがって、S1およびS2は、開である。示されるように、第3の部分864に沿った負の電圧スイングは、シース電圧(Vsheath)に影響を及ぼし、したがって、Vbの大きさは、Voutに結合される電極面に近接近するシース電圧に影響を及ぼすように制御され得る。当業者は、本実装では、VbがV1を制御することによって制御可能であるが、VbがインダクタL1の影響によってV1に等しくないことを理解するであろう。
本実施形態では、第2の電圧源は、少なくとも第1および第2のスイッチS1、S2が開である間、第3の電圧V3を、第2の誘導要素L2を通して出力ノードVoutに印加し、周期非対称電圧波形の第4の部分866に沿って、出力ノードにおける電圧波形のレベルをさらに減少させるためのイオン補償コンポーネントとして機能する。図8に示されるように、第4の部分866に沿った負の電圧ランプは、基板に衝突するイオンを補償することによって、シース電圧を維持するために確立され得る。
したがって、S1は、第1の誘導要素L1を通して、第1の電圧V1を出力Voutに瞬間的に接続し、次いで、接続解除し、ある時間周期後、S2は、第1の誘導要素L1を通して、第2の電圧(例えば、接地)を出力Voutに接続し、次いで、接続解除する。第3の電圧V3は、第2の誘導要素L2を通して、出力Voutに結合される。本実装では、第1の電圧V1は、第3の電圧V3よりも高くあり得、出力Voutへの第1の電圧V1の瞬間的接続および接続解除は、出力Voutの電圧を、電圧波形の第1の部分860に沿って、第1の電圧レベルVaまで増加させ、第1の電圧レベルVaは、波形862の第2の部分に沿って持続される。第1の電圧レベルVaは、第1の電圧V1を上回り得、第2の電圧V2(例えば、接地)は、第1の電圧レベルVa未満であり得る。第2の電圧V2の瞬間的接続、次いで、接続解除は、出力の電圧を、第3の部分864において、第2の電圧V2(例えば、接地)を下回る第2の電圧レベルVbまで減少させる。
ある実施形態では、1つ以上のバイアス供給源が、チャンバ内の基準基板を用いて、またはいかなる基板も伴わず、イオン密度、シース静電容量、または他のチャンバパラメータを測定するために使用され得る。1つ以上の処理工程が、実行され得、次いで、測定は、繰り返されることができる。このように、チャンバの変化が、監視されることができる。
シリコン上部蓋が使用される場合、1つ以上のバイアス供給源402、602、702、1202は、局部的イオン密度および/または他のチャンバパラメータを監視するために使用されることができる。シリコン上部蓋(シリコン真空シールとも称される)は、典型的には、消耗品であるが、均一な様式で消費され得ない。局部的プラズマ特性を測定するために複数のバイアス供給源402、602、702、1202を使用することは、シリコン真空シールにおける不均一な変化を推測するための手段を提供し得る。経時的な本フィードバックは、RF源300および/またはバイアス供給源402、602、702、1202を調節し、シリコン真空シールにおける時変不均一性を考慮するために使用されることができる。加えて、本フィードバックは、シリコン真空シールが交換すべきであり得るときを決定するために使用されることができる。別の実施形態では、1つ以上のバイアス供給源402、602、702、1202は、本シリコン真空シールに隣接する(例えば、チャンバの上部における)電極に結合されることができる。バイアス供給源402、702、1202は、プラズマシースを修正する、またはさらには排除するために使用されることができるため、本上部搭載バイアス供給源402、602、702、1202は、シリコン真空シールとプラズマとの間のプラズマシースを最小限にする、またはさらには排除するために使用され得る。このように、シリコン真空シールの浸食または消費が、現在のプロセスと比較して低減されることができる。
これらの方針に沿って、各バイアス供給源402、602、702、1202および対応する電極は、プラズマシースを局所的に制御し、それによって、チャンバのある領域またはコンポーネントに関するイオン衝撃を低減または排除するために、処理チャンバの種々の場所に配列され得る。イオン密度およびシース静電容量、およびその局所変動が、チャンバ清浄度を監視するために使用されてもよい。例えば、経時的な局所イオン密度の変化は、局所チャンバ表面が1つ以上のフィルムを蓄積していることを示し得る。別の実施形態では、空間内に分散される複数の静電チャック電圧が、局部的イオン密度に影響を及ぼすために使用され得る。
本明細書に開示される実施形態と関連して説明される方法は、ハードウェアにおいて、非一過性有形プロセッサ可読記憶媒体内でエンコードされるプロセッサ実行可能コードにおいて、または2つの組み合わせにおいて直接具現化されてもよい。例えば、図13を参照すると、示されるものは、例示的実施形態による、源発生器300およびバイアス供給源402、602、702、1202の制御側面を実現するために利用され得る物理的コンポーネントを描写する、ブロック図である。示されるように、本実施形態では、ディスプレイ部分1312および不揮発性メモリ1320が、ランダムアクセスメモリ(「RAM」)1324、処理部分(N個の処理コンポーネントを含む)1326、フィールドプログラマブルゲートアレイ(FPGA)1327、およびN個の送受信機を含む送受信機コンポーネント1328にもまた結合される、バス1322に結合される。図13に描写されるコンポーネントは、物理的コンポーネントを表すが、図13は、詳細なハードウェア図であることを意図しておらず、したがって、図13に描写されるコンポーネントの多くは、共通構造によって実現される、または付加的物理的コンポーネントの間で分散されてもよい。さらに、他の既存および未開発の物理的コンポーネントおよびアーキテクチャも、図13を参照して説明される機能的コンポーネントを実装するために利用され得ることが検討される。
本ディスプレイ部分1312は、概して、ユーザのためのユーザインターフェースを提供するように動作し、いくつかの実装では、ディスプレイは、タッチスクリーンディスプレイによって実現される。一般に、不揮発性メモリ1320は、データおよび(本明細書に説明される方法をもたらすことと関連付けられる実行可能コードを含む)プロセッサ実行可能コードを記憶する(例えば、持続的に記憶する)ように機能する、非一過性メモリである。例えば、いくつかの実施形態では、不揮発性メモリ1320は、ブートローダコード、オペレーティングシステムコード、ファイルシステムコード、および図1-12に対する参照によって説明されるような基板またはプラズマ処理チャンバ100の異なる局在化領域をバイアスする方法の実行を促進するための非一過性プロセッサ実行可能コードを含む。監視回路1270、チャンバ分析コンポーネント1272、および制御回路1272のうちの1つ以上のものが、少なくとも部分的に、非一過性プロセッサ実行可能コードによって実現されてもよい。
多くの実装では、不揮発性メモリ1320は、フラッシュメモリ(例えば、NANDまたはONENANDメモリ)によって実現されるが、他のメモリタイプも、同様に利用され得ることが検討される。不揮発性メモリ1320からのコードを実行することが可能であり得るが、不揮発性メモリ内の実行可能コードは、典型的には、RAM1324にロードされ、処理部分1326内のN個の処理コンポーネントのうちの1つ以上のものによって実行される。
RAM1324と関連するN個の処理コンポーネントは、概して、不揮発性メモリ1320内に記憶される命令を実行し、本明細書に開示されるアルゴリズムおよび機能の実行を可能にするように動作する。いくつかのアルゴリズムが、本明細書に開示されるが、これらのアルゴリズムのうちのいくつかは、フローチャートに表されないことを認識されたい。図1-12に示され、それに対して説明されるような基板またはチャンバの異なる局在化領域をバイアスする方法をもたらすためのプロセッサ実行可能コードは、不揮発性メモリ1320内に持続的に記憶され、RAM1324と関連してN個の処理コンポーネントによって実行されてもよい。当業者が理解するであろうように、処理部分1326は、ビデオプロセッサ、デジタル信号プロセッサ(DSP)、マイクロコントローラ、グラフィックス処理ユニット(GPU)、または他のハードウェア処理コンポーネントまたはハードウェアおよびソフトウェア処理コンポーネントの組み合わせ(例えば、FPGAまたはデジタル論理処理部分を含むFPGA)を含んでもよい。
加えて、または代替では、非一過性FPGA構成命令は、不揮発性メモリ1320内に持続的に記憶され、本明細書に開示されるアルゴリズムを実装し、コントローラ1260の機能のうちの1つ以上のものまたはRF源300およびバイアス供給源402、602、702、1202の他の側面をもたらすようにフィールドプログラマブルゲートアレイ(FPGA)を構成するために(例えば、起動の間に)アクセスされてもよい。
入力コンポーネント1330は、プラズマ処理チャンバ100内の環境および/または源発生器300とバイアス供給源402、602、702、1202との間の同期された制御の1つ以上の側面を示す信号(例えば、電流、電圧、および位相情報、および/またはバイアス供給源と源発生器との間の同期信号)を受信するように動作する。入力コンポーネントにおいて受信される信号は、例えば、同期信号、種々の発生器および電力供給源ユニットへの電力制御信号、またはユーザインターフェースからの制御信号を含んでもよい。出力コンポーネントは、概して、本明細書に開示されるようなバイアス供給源を制御する動作側面(例えば、基板および/またはプラズマ処理チャンバ100内の他のコンポーネントの局在化バイアス)をもたらすための1つ以上のアナログまたはデジタル信号および/またはRF源とバイアス供給源との間の同期をもたらすための信号を提供するように動作する。例えば、出力部分1332は、バイアス供給源402、602、702、1202と源発生器300との間の同期信号を提供してもよい。
描写される送受信機コンポーネント1328は、無線または有線ネットワークを介して外部デバイスと通信するために使用され得る、N個の送受信機チェーンを含む。N個の送受信機チェーンはそれぞれ、特定の通信スキーム(例えば、WiFi、イーサネット(登録商標)、Profibus等)と関連付けられる送受信機を表してもよい。
当業者によって理解されるであろうように、本開示の側面は、システム、方法、またはコンピュータプログラム製品として具現化されてもよい。故に、本開示の側面は、完全にハードウェアの実施形態、完全にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)、または全て、概して、本明細書では「回路」、「モジュール」、または「システム」と称され得るソフトウェアおよびハードウェア側面を組み合わせる実施形態の形態をとってもよい。さらに、本開示の側面は、その上で具現化されるコンピュータ可読プログラムコードを有する1つ以上のコンピュータ可読媒体において具現化されるコンピュータプログラム製品の形態をとってもよい。
本明細書に使用されるように、「A、B、またはCのうちの少なくとも1つ」の列挙は、「A、B、Cのいずれか、またはA、B、およびCの任意の組み合わせ」を意味することを意図している。開示される実施形態の前述の説明は、当業者が本開示を作製または使用することを可能にするために提供される。これらの実施形態の種々の修正が、当業者に容易に明白となり、本明細書に定義される一般的原理は、本開示の精神または範囲から逸脱することなく、他の実施形態に適用され得る。したがって、本開示は、本明細書に示される実施形態に限定されることを意図しておらず、本明細書に開示される原理および新規の特徴と一貫する最も広い範囲を与えられるものである。

Claims (16)

  1. プラズマ処理のためのシステムであって、前記システムは、
    プラズマ処理チャンバであって、
    前記処理チャンバ内にプラズマを提供するための源と、
    少なくとも2つのバイアス電極であって、前記少なくとも2つのバイアス電極は、前記バイアス電極に近接するプラズマシースを制御するために前記プラズマ処理チャンバ内に配列される、少なくとも2つのバイアス電極と、
    基板を支持するために配置されるチャックと
    を含む、プラズマ処理チャンバと、
    前記少なくとも2つのバイアス電極に結合される少なくとも1つのバイアス供給源と、
    コントローラであって、前記コントローラは、前記少なくとも1つのバイアス供給源を制御し、非対称周期電圧波形を前記少なくとも2つのバイアス電極のそれぞれに印加し、前記バイアス電極に近接する前記プラズマシースを制御し、前記基板の縁に対応する前記少なくとも2つのバイアス電極のうちの1つに前記非対称周期電圧波形のうちの少なくとも1つを印加する、コントローラと
    を備える、システム。
  2. 前記源は、遠隔プラズマ源または源発生器のうちの少なくとも1つを含む、請求項1に記載のシステム。
  3. プラズマ処理のためのシステムであって、前記システムは、
    プラズマ処理チャンバであって、
    前記処理チャンバ内にプラズマを提供するための源と、
    少なくとも2つのバイアス電極であって、前記少なくとも2つのバイアス電極は、前記バイアス電極に近接するプラズマシースを制御するために前記プラズマ処理チャンバ内に配列される、少なくとも2つのバイアス電極と、
    基板を支持するために配置されるチャックと
    を含む、プラズマ処理チャンバと、
    前記少なくとも2つのバイアス電極に結合される少なくとも1つのバイアス供給源と、
    コントローラであって、前記コントローラは、前記少なくとも1つのバイアス供給源を制御し、非対称周期電圧波形を前記少なくとも2つのバイアス電極のそれぞれに印加し、前記バイアス電極に近接する前記プラズマシースを制御する、コントローラと
    を備え、
    前記チャックは、前記少なくとも2つのバイアス電極が、前記基板に対するイオンの軌道またはイオンのエネルギーの空間分布のうちの少なくとも1つに影響を及ぼす前記シースの一部を改変することを可能にするために、前記プラズマのシースと前記少なくとも2つのバイアス電極との間に配置される、ステム。
  4. 前記少なくとも2つのバイアス電極のうちの1つの一部は、前記基板の縁に対するイオンの軌道またはイオンのエネルギーの空間分布のうちの少なくとも1つに影響を及ぼす前記シースの一部を改変するために、前記チャックの縁に沿って配置される、請求項3に記載のシステム。
  5. 前記コントローラは、
    前記少なくとも1つのバイアス供給源によって印加される前記非対称周期電圧波形の少なくとも1つの特性を測定するための監視回路と、
    チャンバ分析コンポーネントであって、前記チャンバ分析コンポーネントは、前記監視回路から取得された前記非対称周期電圧波形前記測定される特性に基づいて、前記プラズマ処理チャンバ内の環境の特性を決定するように構成される、チャンバ分析コンポーネントと、
    制御回路であって、前記制御回路は、前記少なくとも1つのバイアス供給源によって印加される前記非対称周期電圧波形を調節し、前記バイアス電極に近接する前記プラズマシースを制御する、制御回路と
    を含む、請求項1に記載のシステム。
  6. 前記チャンバ分析コンポーネントは、前記バイアス電極に近接する前記プラズマシースのシース静電容量を計算するように構成され、前記制御回路は、前記シース静電容量を調節するために印加される前記非対称周期電圧波形の少なくとも1つの特性を調節するように構成される、請求項5に記載のシステム。
  7. プラズマ処理のためのシステムであって、前記システムは、
    プラズマ処理チャンバであって、
    前記処理チャンバ内にプラズマを提供するための源と、
    少なくとも2つのバイアス電極であって、前記少なくとも2つのバイアス電極は、前記バイアス電極に近接するプラズマシースを制御するために前記プラズマ処理チャンバ内に配列される、少なくとも2つのバイアス電極と、
    基板を支持するために配置されるチャックと
    を含む、プラズマ処理チャンバと、
    前記少なくとも2つのバイアス電極に結合される少なくとも1つのバイアス供給源と、
    コントローラであって、前記コントローラは、前記少なくとも1つのバイアス供給源を制御し、非対称周期電圧波形を前記少なくとも2つのバイアス電極のそれぞれに印加し、前記バイアス電極に近接する前記プラズマシースを制御する、コントローラと
    を備え、前記コントローラは、
    前記少なくとも1つのバイアス供給源によって印加される非対称周期電圧波形の少なくとも1つの特性を測定するための監視回路と、
    チャンバ分析コンポーネントであって、前記チャンバ分析コンポーネントは、前記監視回路から取得された前記非対称周期電圧波形の前記測定される特性に基づいて、前記プラズマ処理チャンバ内の環境の特性を決定するように構成される、チャンバ分析コンポーネントと、
    制御回路であって、前記制御回路は、前記少なくとも1つのバイアス供給源によって印加される前記非対称周期電圧波形を調節し、前記バイアス電極に近接する前記プラズマシースを制御する、制御回路と
    を含み、
    前記コントローラは、前記少なくとも1つのバイアス供給源内に統合される統合コントローラまたは前記プラズマ処理システムの複数のコンポーネントを制御するシステムコントローラのうちの少なくとも1つを含む、ステム。
  8. 前記プラズマ処理チャンバ内に配列されるプラズマ電極に結合される源発生器を含む、請求項1に記載のシステム。
  9. 前記源発生器は、RF発生器である、請求項2に記載のシステム。
  10. プラズマ処理チャンバ内で基板を処理するための方法であって、前記方法は、
    前記プラズマ処理チャンバ内にプラズマを生じることと、
    対応する複数のバイアス供給源を用いて前記プラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加することと、
    前記基板の縁に対応する区域に前記非対称周期電圧波形のうちの少なくとも1つを印加することと、
    前記非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変することと
    を含む、方法。
  11. 前記プラズマ処理チャンバ内の基板に近接して位置付けられる複数の区域のそれぞれに前記非対称周期電圧波形を印加することを含む、請求項10に記載の方法。
  12. 前記基板の前記縁に対応する前記区域に前記非対称周期電圧波形を印加し、前記基板の前記縁に近接する前記プラズマの密度を抑制することを含む、請求項10に記載の方法。
  13. 前記少なくとも1つの源発生器および前記バイアス供給源のうちの1つを共通電極に結合することを含む、請求項10に記載の方法。
  14. プラズマ処理チャンバ内で基板を処理するための方法であって、前記方法は、
    前記プラズマ処理チャンバ内にプラズマを生じることと、
    対応する複数のバイアス供給源を用いて前記プラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加することと、
    前記非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変することと
    を含み、前記方法は、
    1つ以上の上部区域への非対称周期電圧波形を調節し、前記プラズマ処理チャンバの上板に近接するプラズマシースの対応する1つ以上の部分を改変することをさらに含む、法。
  15. 前記バイアス供給源のうちの1つ以上のものによって前記区域のうちの1つ以上のものに印加される前記非対称周期電圧波形の特性を測定することと、
    前記非対称周期電圧波形前記測定される特性に基づいて、前記プラズマ処理チャンバ内の環境の特性を計算することと、
    前記少なくとも1つのバイアス供給源によって印加される前記非対称周期電圧波形を調節し、前記1つ以上の区域に近接する前記プラズマシースを制御することと
    を含む、請求項10に記載の方法。
  16. 非一過性コンピュータ可読媒体であって、前記非一過性コンピュータ可読媒体は、その上に記憶される命令を備え、前記命令は、プロセッサによる実行のために、またはフィールドプログラマブルゲートアレイを構成するために、プラズマ処理を実施するためのものであり、前記命令は、
    プラズマ処理チャンバ内にプラズマを生じるように遠隔プラズマ源または源発生器のうちの少なくとも1つを制御することと、
    前記プラズマ処理チャンバ内の複数の区域のそれぞれに非対称周期電圧波形を印加し、前記プラズマ処理チャンバ内で処理される基板の縁に対応する区域に前記非対称周期電圧波形のうちの少なくとも1つを印加するように複数のバイアス供給源を制御することと、
    前記非対称周期電圧波形の1つ以上の特性を調節し、プラズマシースの対応する部分を改変するように前記複数のバイアス供給源のうちの1つ以上のものを制御することと
    を行うための命令を含む、非一過性コンピュータ可読媒体。

JP2020545047A 2017-11-17 2018-11-16 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御 Active JP7289313B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023088417A JP2023113754A (ja) 2017-11-17 2023-05-30 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762588224P 2017-11-17 2017-11-17
US62/588,224 2017-11-17
PCT/US2018/061653 WO2019099925A1 (en) 2017-11-17 2018-11-16 Spatial and temporal control of ion bias voltage for plasma processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023088417A Division JP2023113754A (ja) 2017-11-17 2023-05-30 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御

Publications (2)

Publication Number Publication Date
JP2021503701A JP2021503701A (ja) 2021-02-12
JP7289313B2 true JP7289313B2 (ja) 2023-06-09

Family

ID=66532551

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545047A Active JP7289313B2 (ja) 2017-11-17 2018-11-16 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
JP2023088417A Pending JP2023113754A (ja) 2017-11-17 2023-05-30 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023088417A Pending JP2023113754A (ja) 2017-11-17 2023-05-30 プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御

Country Status (7)

Country Link
US (4) US10707055B2 (ja)
EP (2) EP3711081A4 (ja)
JP (2) JP7289313B2 (ja)
KR (1) KR20200100642A (ja)
CN (1) CN111788655B (ja)
TW (3) TWI792598B (ja)
WO (1) WO2019099925A1 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
CN111868873B (zh) 2017-11-17 2023-06-16 先进工程解决方案全球控股私人有限公司 等离子体处理源和衬底偏置的同步的脉冲化
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
WO2021206684A1 (en) * 2020-04-06 2021-10-14 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399186A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) * 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US20230238216A1 (en) * 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240145215A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Pulsed voltage plasma processing apparatus and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009194318A (ja) 2008-02-18 2009-08-27 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
JP2016500132A (ja) 2012-08-28 2016-01-07 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
JP2017055100A (ja) 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2548017B1 (fr) 1983-06-16 1986-08-29 Tissot Jean Perfectionnements aux appareils de massage pneumatique
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH0833862B2 (ja) 1989-10-23 1996-03-29 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン オブジエクト指向コンピユータ・システム
US5179264A (en) 1989-12-13 1993-01-12 International Business Machines Corporation Solid state microwave powered material and plasma processing systems
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5410691A (en) 1990-05-07 1995-04-25 Next Computer, Inc. Method and apparatus for providing a network configuration database
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
KR970005035B1 (ko) 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5517084A (en) 1994-07-26 1996-05-14 The Regents, University Of California Selective ion source
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
KR100542459B1 (ko) 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
AU2001239906A1 (en) 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US6577113B2 (en) * 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
JP4088499B2 (ja) 2002-08-28 2008-05-21 株式会社ダイヘン インピーダンス整合器の出力端特性解析方法、およびインピーダンス整合器、ならびにインピーダンス整合器の出力端特性解析システム
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
CA2529794A1 (en) 2003-06-19 2004-12-29 Plasma Control Systems Llc Plasma production device and method and rf driver circuit with adjustable duty cycle
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4411282B2 (ja) 2003-11-27 2010-02-10 株式会社ダイヘン 高周波電力供給システム
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4739793B2 (ja) 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
CN101297480B (zh) 2005-10-31 2012-08-08 Mks仪器股份有限公司 用于向动态负载传递功率的系统和方法
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
JP2007336148A (ja) 2006-06-14 2007-12-27 Daihen Corp 電気特性調整装置
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7811939B2 (en) 2006-03-27 2010-10-12 Tokyo Electron Limited Plasma etching method
EP1845755A3 (en) 2006-04-10 2014-04-02 EMD Technologies, Inc. Illumination systems
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
JP2007311182A (ja) 2006-05-18 2007-11-29 Tokyo Electron Ltd 誘導結合プラズマ処理装置およびプラズマ処理方法
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
KR101447302B1 (ko) 2006-12-12 2014-10-06 오를리콘 어드벤스드 테크놀로지스 아크티엔게젤샤프트 고전력 임펄스 마그네트론 스퍼터링(hipims)에서의 아크 억제 및 펄싱
JP2008157906A (ja) 2006-12-25 2008-07-10 Adtec Plasma Technology Co Ltd 出力インピーダンス検出方法およびこの方法を用いたインピーダンスのセンサー、高周波電源につながる負荷側の電力モニターならびに高周波電源の制御装置
US8080479B2 (en) * 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US8181490B2 (en) 2007-04-25 2012-05-22 Seamless Technologies. LLC Vacuum sealed paint roller cover package and method of making the same
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
EP2211916B1 (en) 2007-11-06 2015-10-14 Creo Medical Limited Microwave plasma sterilisation system and applicators therefor
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
EP2122657B8 (en) * 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
JP5124344B2 (ja) 2008-05-26 2013-01-23 株式会社アルバック バイポーラパルス電源及び複数のバイポーラパルス電源からなる電源装置並びに出力方法
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
EP2299922B1 (en) 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
JP5372419B2 (ja) 2008-06-25 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
CN102105618B (zh) 2008-07-31 2012-07-25 佳能安内华股份有限公司 等离子处理设备和电子器件制造方法
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN102439697B (zh) 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011032149A2 (en) 2009-09-14 2011-03-17 Board Of Regents, The University Of Texas System Bipolar solid state marx generator
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US9088267B2 (en) 2011-01-04 2015-07-21 Advanced Energy Industries, Inc. System level power delivery to a plasma processing load
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
JP6203476B2 (ja) * 2011-03-08 2017-09-27 東京エレクトロン株式会社 基板温度制御方法及びプラズマ処理装置
JP5718124B2 (ja) 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20130006555A1 (en) 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9283635B2 (en) 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9790282B2 (en) 2013-03-25 2017-10-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Anti-CD276 polypeptides, proteins, and chimeric antigen receptors
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
CN109166782B (zh) 2013-11-06 2020-08-07 应用材料公司 通过dc偏压调制的颗粒产生抑制器
CN106415779B (zh) * 2013-12-17 2020-01-21 东京毅力科创株式会社 用于控制等离子体密度的系统和方法
JP6231399B2 (ja) 2014-02-17 2017-11-15 キヤノンアネルバ株式会社 処理装置
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9659751B2 (en) 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102124407B1 (ko) 2016-01-18 2020-06-18 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
CN111868873B (zh) 2017-11-17 2023-06-16 先进工程解决方案全球控股私人有限公司 等离子体处理源和衬底偏置的同步的脉冲化
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
US20210351007A1 (en) 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009194318A (ja) 2008-02-18 2009-08-27 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
JP2016500132A (ja) 2012-08-28 2016-01-07 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
JP2017055100A (ja) 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整

Also Published As

Publication number Publication date
KR20200100642A (ko) 2020-08-26
EP4376061A2 (en) 2024-05-29
US20220285131A1 (en) 2022-09-08
US11842884B2 (en) 2023-12-12
US20190157043A1 (en) 2019-05-23
EP3711081A4 (en) 2021-09-29
EP3711081A1 (en) 2020-09-23
TW202218487A (zh) 2022-05-01
CN111788655A (zh) 2020-10-16
TW201933422A (zh) 2019-08-16
CN111788655B (zh) 2024-04-05
US20230395354A1 (en) 2023-12-07
JP2021503701A (ja) 2021-02-12
US11282677B2 (en) 2022-03-22
JP2023113754A (ja) 2023-08-16
TWI792598B (zh) 2023-02-11
US20210005428A1 (en) 2021-01-07
TW202329762A (zh) 2023-07-16
WO2019099925A1 (en) 2019-05-23
TWI744566B (zh) 2021-11-01
US10707055B2 (en) 2020-07-07

Similar Documents

Publication Publication Date Title
JP7289313B2 (ja) プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
JP7235761B2 (ja) プラズマ処理源および基板バイアスの同期パルス化
US20240071721A1 (en) System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US10250217B2 (en) Method for impedance matching of plasma processing apparatus
JP6512962B2 (ja) プラズマ処理装置
US20190172685A1 (en) Synchronization with a bias supply in a plasma processing system
US20170099723A1 (en) Method for impedance matching of plasma processing apparatus
JP2023525768A (ja) スイッチモードバイアスシステムを使用した表面電荷および電力フィードバックならびに制御
JP2015211093A (ja) プラズマ処理装置
TW202233026A (zh) 電漿處理環境的空間控制
US20230395355A1 (en) Synchronization of bias supplies
KR20220160490A (ko) 클리닝을 제어하는 방법 및 플라스마 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221101

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230530

R150 Certificate of patent or registration of utility model

Ref document number: 7289313

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150