CN111788655A - 对等离子体处理的离子偏置电压的空间和时间控制 - Google Patents

对等离子体处理的离子偏置电压的空间和时间控制 Download PDF

Info

Publication number
CN111788655A
CN111788655A CN201880086817.1A CN201880086817A CN111788655A CN 111788655 A CN111788655 A CN 111788655A CN 201880086817 A CN201880086817 A CN 201880086817A CN 111788655 A CN111788655 A CN 111788655A
Authority
CN
China
Prior art keywords
bias
plasma
plasma processing
voltage
sheath
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880086817.1A
Other languages
English (en)
Other versions
CN111788655B (zh
Inventor
D·肖
K·费尔贝恩
D·卡特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Engineering Solutions Global Holdings Private Ltd
Original Assignee
Advanced Engineering Solutions Global Holdings Private Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Engineering Solutions Global Holdings Private Ltd filed Critical Advanced Engineering Solutions Global Holdings Private Ltd
Publication of CN111788655A publication Critical patent/CN111788655A/zh
Application granted granted Critical
Publication of CN111788655B publication Critical patent/CN111788655B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

公开了用于等离子体处理的系统和方法。一种示例性系统可以包括等离子体处理室,其包括在该处理室中产生等离子体的源以及布置在该等离子体处理室内的至少两个偏置电极,所述至少两个偏置电极用于控制接近所述偏置电极的等离子体鞘层。卡盘被设置为支撑衬底,并且源生成器耦合至等离子体电极。至少一个偏置电源耦合至所述至少两个偏置电极,并且控制器被包括为控制所述至少一个偏置电源,以控制接近偏置电极的等离子体鞘层。

Description

对等离子体处理的离子偏置电压的空间和时间控制
根据35U.S.C.§119的优先权主张
本专利申请主张于2017年11月17日提交的发明名称为“SPATIAL AND TEMPORALCONTROL OF ION BIAS VOLTAGE FOR PLASMA PROCESSING”并且转让给本受让人的临时申请No.62/588,224的优先权,并且由此通过引用将其明确并入本文。
技术领域
本公开总体上涉及等离子体处理。具体地,而非限制性地,本公开涉及用于用电源修改等离子体处理环境的系统、方法和设备。
背景技术
等离子体处理室的一个挑战在于控制衬底上方的等离子体鞘层的均匀性,尤其是围绕衬底的边缘的等离子体鞘层的均匀性。由衬底边缘、掩埋的电平面的边缘、隔离环和其他室相关制品导致的不连续性可能影响鞘层均匀性,其将相对于衬底改变离子轨迹,并因此可能对衬底的处理造成不利影响。
现有技术的尝试已经使用了衬底支架、室形状和其他物理几何结构中的物理改变来试图缓解这些挑战。但是,这些方案是静态的、不灵活的,并且在其他情况下是有缺陷的。
发明内容
一个方面可以被表征为一种用于等离子体处理的系统。该系统包括等离子体处理室,该等离子体处理室包括:在该处理室中提供等离子体的源;布置在该等离子体处理室内的至少两个偏置电极,其用于控制接近偏置电极的等离子体鞘层;以及被设置为支撑衬底的卡盘。该系统还包括:耦合至所述至少两个偏置电极的至少一个偏置电源;以及控制器,其用于控制所述至少一个偏置电源,以向所述至少两个偏置电极中的每个施加非对称周期性电压波形,从而控制接近偏置电极的等离子体鞘层。
另一方面可以被表征为一种用于处理等离子体处理室中的衬底的方法。该方法包括:在等离子体处理室中产生等离子体;用对应的多个偏置电源向等离子体处理室中的多个区带中的每个施加非对称周期性电压波形;以及调整非对称周期性电压波形的一个或多个特性,以更改等离子体鞘层的对应的部分。
附图说明
图1是描绘了具有多个偏置区带的等离子体处理系统的图示;
图2描绘了具有多个偏置区带的另一个等离子体处理系统;
图3描绘了具有多个偏置区带的又一个等离子体处理系统;
图4描绘了具有多个偏置区带的另一个等离子体处理系统;
图5是描绘了可以联系本文公开的实施例履行的方法的流程图;
图6是描绘了示例性控制系统的各方面的图示;
图7是描绘了示例性偏置电源的各方面的图示;
图8包括从偏置电源输出的电压波形的曲线图;对应的鞘层电压的曲线图;以及对应的开关时序图;
图9是描绘了示例性偏置电源波形和示例性电压值的曲线图;并且
图10A描绘了使用两个电压源向图7中描绘的偏置电源提供电压的实施方式;
图10B描绘了使用两个电压源向图7中描绘的偏置电源提供电压的另一个实施方式;
图10C描绘了使用两个电压源向图7中描绘的偏置电源提供电压的又一个实施方式;
图11A描绘了使用三个电压源向图7中描绘的偏置电源提供电压的实施方式;
图11B描绘了使用三个电压源向图7中描绘的偏置电源提供电压的另一个实施方式;
图11C描绘了使用三个电压源向图7中描绘的偏置电源提供电压的又一个实施方式;
图12是描绘了与控制系统连接的示例性偏置电源的各方面的图示;并且
图13是描绘了示例性计算装置的框图。
具体实施方式
本公开总体上描述了在空间和时间两方面控制电容(或电感)耦合的等离子体的均匀性和强度的系统、方法和设备。
“示例性”一词在本文中被用于意指“用作示例、实例或者说明”。本文描述为“示例性”的任何实施例未必要被理解为相比其它实施例是优选的或有利的。并且在本说明书中对任何现有公开文献(或者由其导出的信息)或者任何已知资料的引用并非承认或认可或者以任何形式暗示所述的现有公开文献(或由其导出的信息)或已知资料形成本说明书所涉及的研究领域中的公知常识的部分,或者是常规例程。
作为预先标注,下述附图中的流程图和框图示出了根据本公开的各种实施例的系统、方法和计算机程序产品的可能的实施方式的架构、功能和操作。就此而言,这些流程图或框图中的一些框可以表示包括用于执行(一个或多个)所指定的逻辑功能的一个或多个可执行指令的代码的模块、区段或部分。还应当指出,在一些替代实施方式中,框中指出的功能可能不按附图中指出的顺序发生。例如,取决于所涉及的功能,被示为相继的两个框实际上可以是基本上同时执行的,或者框有时可以是按照相反顺序执行的。还应当指出,框图和/或流程图图示中的每一框以及框图和/或流程图图示中的框的组合可以是通过可以执行所指定的功能或动作的基于专用硬件的系统、或专用硬件和计算机指令的组合来实施的。
尽管下文的公开内容中的使用情况包括晶圆等离子体处理,但是实施方式可以包括等离子体室内的任何衬底处理。在一些实例中,可以使用本文公开的系统、方法和设备处理衬底以外的对象。换言之,本公开适用于在亚大气压等离子体处理室内对任何对象的等离子体处理,以通过物理或化学手段进行表面改变、表面下的改变、沉积或去除。
本公开可以(但不是必须)利用US9287092、US9287086、US9435029、US9309594、US9767988、US9362089、US9105447、US9685297、US9210790中公开的等离子体处理和衬底偏置技术。这些专利的全部内容通过引用并入本文。
出于本公开的目的,“激励源”、“源生成器”、“RF源”和“RF电源”是其能量主要涉及生成和维持等离子体的那些源,而“偏置电源”则是其能量主要涉及生成用于吸引来自等离子体的离子和电子的表面电势的那些源。虽然源生成器和偏置电源的频率根据各种各样的因素(例如,应用特定的因素)变化,但是在很多实施例中,源生成器在13.56MHz及更高的频率下操作,并且偏置电源在低于2MHz的频率(例如,非限制性地处于2MHz和400kHz之间)下操作。在其他实施例中,源电源的频率低于13.56MHz,并且设想到偏置电源可以在超过2MHz的频率下操作。在很多应用中,源电源的频率高于偏置电源的频率。
图1-图4中的每个描绘了一种包括通配的圆柱形对称等离子体处理室100的示例性等离子体处理系统,等离子体处理室100具有顶部电极285(例如,阴极)和类似面积的下部(衬底)电极275(例如,阳极)。这种对等离子体处理室100的几何结构的简化旨在便于解释,而非对本公开的各种实施方式造成限制。例如,等离子体处理室100可以具有除了圆柱形状之外的形状(例如,处理室100可以具有矩形形状)并且可以是不对称的。在其他实施例中,顶部电极285和下部电极275可以不具有相同的形状和/或尺寸。
图1-图4也示出了作为具有掩埋入于其内的电平面245、249、255的绝缘体的电极275、285。然而,在其他实施例中,电极275、285可以包括具有绝缘涂层的导电材料或金属。更一般而言,电极275、285可以被实施为能够将能量电容耦合至等离子体(顶部电极285)内或者能够以电容方式在衬底200表面(下部电极275)上生成偏置电压的任何结构。虽然图1-图4描绘了来自源生成器的RF功率被电容耦合至等离子体室100内的等离子体,但是应当认识到,也可以(或者替代性地)将RF能量从源生成器300电感耦合至等离子体处理室100。因而,能量耦合部件可以是电感元件(例如,线圈)而非电平面255。在一些实施例中,代替利用源生成器(例如,源生成器)或者除利用源生成器之外,通过远程等离子体源向等离子体室提供等离子体。
衬底200可以是由等离子体进行处理以通过物理或化学手段进行表面改变、表面下的改变、沉积或去除的任何对象或物件,并且在一些实例中可以是表面。
图1示出了具有通过源生成器300(也被称为“RF源”)供电的顶部电极285的等离子体处理室100,源生成器300通过匹配部件350经由电缆475或其他导体连接至能量耦合部件(例如,掩埋的电平面255)。顶部电极285通过隔离环105与等离子体处理室100的主体隔离。真空壁的内壁在这一示例中通过隔离柱107与等离子体电势隔离。来自顶部电极285的RF激励用于生成并且维持等离子体,并且用于控制等离子体密度。衬底200被示为坐落在下部电极275上。这一电极275通过隔离环110与等离子体室隔离。本实施例包括两个偏置电源402,两个偏置电源402可以均包括DC电源单元(PSU)450和eV开关400。
等离子体处理室的一个挑战在于控制衬底200上方的等离子体鞘层的均匀性,尤其是围绕衬底的边缘的等离子鞘层的均匀性。由衬底边缘、掩埋的电平面的边缘、隔离环110和其他室相关制品导致的不连续性可能影响鞘层均匀性,并因此影响衬底的处理均匀性。现有技术的尝试使用衬底支架、室形状和其他物理几何结构的物理改变来试图缓解这些挑战。此外,很多现有技术方案采用对称(例如,正弦)输出进行操作(例如,其中波形的前半循环具有该波形的后半循环内的对应对称分量)。但是向衬底施加正弦波形引起了离子能量的宽分布,其限制了等离子体工艺的实施预期的蚀刻轮廓的能力。本公开以更为动态、灵活的方案解决了这些非均匀性和缺陷。
一般而言,图1描绘了多个偏置电源402,并且每一偏置电源402耦合至对应的电平面,以在等离子体处理室100内形成多个区带(本文中也被称为偏置区带)。虽然图1描绘了两个区带,但是应当认识到实施例可以包括远远多于两个的区带。如图1所示,偏置电源402之一耦合至中心电平面249,并且另一个偏置电源402连接至外侧掩埋的电平面245。偏置电源402中的每个可以独立于其他偏置电源而被控制。使用两个掩埋的平面245、249和两个偏置电源402允许跨越电极275实现不均匀的偏置。例如,与向中心掩埋的平面249施加的偏置相比,可以向外侧掩埋的平面245施加更高的偏置,或相反亦然。替代性地,可以对每一掩埋的平面245、249施加不同的脉冲方案。尽管可以施加不均匀的偏置,但是结果可以是衬底200上的均匀的表面电势。换言之,这一多掩埋平面方法可以用于缓解等离子体、衬底等中的非均匀性,并且由此在衬底上方实现均匀的等离子体鞘层。
也可以使两个偏置电源的占空比变化(在使偏置电源402在相同电压上运行时),以对由于等离子体系统中的非均匀效应导致的不同处理速率进行补偿。或者,可以使偏置电源402在不同电压或者不同占空比和不同电压(偏置电源之间)两者的组合下运行,以实现预期的处理均匀性。也可以利用掩埋的电平面和对应的偏置电源的额外细分(例如,可以实施两个或更多掩埋的平面和对应的偏置电源402)。尽管示出了单独的偏置电源402,但是在实践中,这些偏置电源402可以被集成到一个具有公共DC电压源但是具有不同输出的单元(例如,单个DC电源单元对两个或更多eV开关进行馈电)中。此外,可以用电势分配器在不同掩埋的电平面之间对eV源的输出进行拆分。
图2示出了图1所示的实施例的另一个变型。在该实例中,外侧掩埋的电极245不布置在衬底200的外侧边缘下方,而是至少部分地布置在隔离环110下面。这使得能够控制隔离环110上方的偏置和等离子体鞘层均匀性。在一些情况下,可以控制偏置电源402所施加的偏置,从而要么消除隔离环110上方的RF等离子体感应出来的偏置,并且避免对作为工艺耗材的隔离环110的处理,要么相反地增强对隔离环110的等离子体处理。
图3示出了图2所示的实施例的又一个变型。在该实例中,外侧掩埋的电极245处于隔离环110内部,以控制隔离环110上方的偏置和鞘层均匀性。在一些情况下,可以控制偏置电源所施加的偏置,从而要么消除RF等离子体感应出来的偏置,并且避免对作为工艺耗材的隔离环110的处理,要么相反增强对隔离环110的等离子体处理。
图4示出了一个实施例,其中,一个或多个偏置电源402耦合至一个或多个顶部电极,并且一个或多个RF源连同另外的一个或多个偏置电源402耦合至底部电极(通过匹配网络350)。使偏置电源402与源生成器300隔离可能需要的滤波器未被示出,但是可以由本领域技术人员实施。通过使eV源的占空比和/或电压电平变化,等离子体均匀性可以被更改并且影响衬底的处理均匀性。顶部电极285的材料可以被用在衬底的处理中,因而通过在幅度、时间、均匀性或者它们的组合方面控制偏置电源402的(一个或多个)偏置电平,可以控制衬底200处理的均匀性和速率。可能预期抑制顶部电极285的表面上方的RF感应出来的离子偏置电压,在这种情况下,可以使用耦合至顶部电极285中的电平面257、259的偏置电源402来消除这一偏置电压。如果RF感应出来的电压是非均匀的,那么可以使用多个偏置电源402(例如,两个或更多偏置电源402)抵消这些非均匀性。
在另一个实施例中,可以与源生成器300的脉冲化和/或电压改变同步地使偏置电源402中的一个或多个脉冲化和/或调制偏置电源402中的一个或多个的电压。例如,在偏置电源402中的一个或多个使偏置电压从第一偏置电压降低至第二偏置电压时的周期期间,源生成器300可以使其输出脉冲化,降低其电压,或者既使其输出脉冲化又降低其电压。
这些原理不应当局限于偏置电源402和源生成器300的所示出的数量。相反,可以使用很多源(例如,很多偏置电源402和很多源生成器300),例如,其中预期获得对等离子体密度的复杂的、具有区域特异性的控制(例如,以实现等离子体密度均匀性)。还应当理解,源的数量未必与电极的数量匹配。例如,四个源生成器300可以驱动三个电极,或者两个源生成器可以驱动五个电极,以给出两个非限制性示例。此外,每一源可以具有对应的匹配网络,或者单个匹配网络可以耦合至两个或更多源并对其进行阻抗匹配。在两个或更多电极耦合至一个或多个偏置电源402的情况下,这些电极可以是对称的(例如,同心环)或者可以是非对称的(例如,考虑衬底和/或室中的非对称性)。
此外,在实施两个或更多偏置电源402的情况下,每一偏置电源可以用于确定局部离子电流(并且因而确定离子能量和离子密度)和局部鞘层电容。
离子电流I1可以被给定为:
Figure BDA0002587782020000071
其中,C1表示与室相关联的部件的固有电容,所述部件可以包括绝缘体、衬底、衬底支撑部和卡盘。
鞘层电容C鞘层可以被给定为:
Figure BDA0002587782020000081
可以利用多个偏置电源402及其测量室内不同位置处的离子电流并因而测量离子密度的能力作为这些偏置电源402和/或源生成器300的反馈。而且可以计算鞘层电容,并将其用作参数值来控制鞘层电容并且影响等离子体鞘层。替代性地或此外,这一反馈可以用于控制处理室100或源300、402的任何电和/或机械特征。替代性地或此外,这一反馈可以用于控制下述各项中的任何一个或多个:等离子体处理室100的磁体(例如,用于局限等离子体、或者对等离子体塑形的磁体、或者用于指引经由微波等离子体源生成的离子的磁体);压强控制(例如,压强阀门);质量流控制;气体流量控制;气体化学物质控制;以及室或其中的部件的物理几何结构(例如,接地的硅帽或盖的垂直移动)。还应认识到,这里不适于对各种已知的RF源(例如,电容的、电感的、微波的等等)做深入描述,因为它们是本领域所公知的。然而,本文描述的反馈和同步适用于任何已知RF源。
接下来参考图5,示出了描绘可以联系本文公开的几个实施例履行的方法的流程图。所述方法包括提供具有多个区带的等离子体处理室(框500)。如参考图1-图4所描述的,这些区带中的每个可以是联系对应的电平面来实现的。例如,电平面245、249、250、257、259中的每个可以建立对应的区带(在被赋予来自偏置电源402的周期性电压波形时),以影响在等离子体处理室100中建立的等离子体的鞘层的部分。此外,将衬底200置于等离子体处理室100中(框502),并且用源生成器在等离子体处理室100中生成等离子体(框504)。如图所示,将偏置波形(例如,非对称周期性电压波形)施加至所述多个区带中的每个(框506),并且监测等离子体处理室100的环境的一个或多个特性(框508)。对所述一个或多个特性的监测可以是由等离子体处理室内的传感器或探头来实施的,和/或可以是通过(在等离子体处理室外)监测由偏置电源402或源生成器300施加的功率的一个或多个方面而实施的。响应于所述监测(框508),调整由所述多个区带中的一个或多个施加的偏置波形(例如,非对称周期性电压波形)(框510)。
参考图6,示出了可以联系本文公开的实施例使用的示例性控制系统的各方面。也示出了鞘层电容(C鞘层)和电容C1的表示,电容C1表示与等离子体处理室100相关联的部件(其可以包括绝缘体、衬底、衬底支撑部和卡盘)的固有电容。
如图所示,可以通过控制器660测量电流和/或电压,以间接监测等离子体处理室100的环境的一个或多个特性(框508)。等离子体处理室100的环境的示例性特性可以是鞘层电容(C鞘层),其可以是使用测量的输出电压Vout用方程2计算出的。
可以在处理衬底之前执行监测(框508)以获得数据(例如,有关鞘层电容和/或等离子体处理室的环境的其他特性),对所述数据进行存储,并且然后利用所述数据调整(一个或多个)偏置波形(框510)(例如,以前馈方式)。还可以在等离子体处理期间执行框508处的监测,并且可以使用(例如)图6所示的电压和/或电流测量结果使用实时反馈做出框510处的调整(例如,通过调整偏置电源602的电压和/或占空比)。
接下来参考图7,示出了可以用于实现偏置电源402、602的示例性偏置电源702的一般表示方式。如图所示,偏置电源702利用三个电压V1、V2和V3。由于输出Vout通过C卡盘电容耦合,因而一般不必控制Vout的DC电平,并且可以通过选择V1、V2或V3之一为地(0V)而将这三个电压减少为两个。也可以使用单独的卡盘电源,因而没有必要控制Vout的DC电平。如果不采用单独的卡盘电源,那么可以控制所有的三个电压,以控制Vout的DC电平。尽管出于清楚起见未示出,但是两个开关S1和S2可以经由电或光学连接由开关控制器进行控制,从而使开关控制器能够使开关S1、S2断开和闭合,如下文所公开的。所描绘的开关S1、S2可以由单极开关、单掷开关实现,并且作为非限制性示例,开关S1、S2可以由碳化硅金属氧化物半导体场效应晶体管(SiC MOSFET)实现。
在这个实施方式中,电压V1、V2、V3可以是DC源电压。如图所示,第一开关S1被设置为通过电感元件将第一电压V1可开关地连接至输出Vout,并且开关S2被设置为通过电感元件将第二电压V2可开关地耦合至输出Vout。在这种实施方式中,两个开关连接至公共节点670,并且公共电感元件L1设置在公共节点和输出节点Vout之间。电感元件的其他布置也是可能的。例如,可以存在两个单独的电感元件,其中,一个电感元件将S1连接至Vout,并且另一个电感元件将S2连接至Vout。在另一个示例中,一个电感元件可以将S1连接至S2,并且另一个电感元件可以将S1或者S2连接至Vout。
在参考图7的同时,参考图8,其描绘了:1)在Vout处输出的偏置电源702的非对称周期性电压波形的循环;2)对应的鞘层电压;以及3)对应的开关S1和S2的开关位置。如图所示,由偏置电源702输出的周期性电压波形是非对称的,使得电压波形的前半循环不具有电压波形的后半循环器件的对应对称分量。在操作中,使第一开关S1暂时地闭合,从而使输出节点Vout处的电压电平沿电压波形的第一部分860(处于电压V0和Va之间)升高到第一电压电平Va。沿波形的第二部分862维持电平Va。然后,使第二开关S2暂时地闭合,从而使输出节点Vout处的电压波形的电平沿波形的第三部分864降低到第二电压电平Vb。注意,S1和S2除了短时间周期之外都是断开的。如图所示,沿第三部分864的负电压摆动影响鞘层电压(V鞘层);因而,可以控制Va-Vb的幅值,以影响鞘层电压。
在这一实施例中,在第一和第二开关S1、S2断开的同时,第三电压V3被通过第二电感元件L2施加至输出节点Vout,从而使输出节点处的电压电平沿电压波形的第四部分866进一步降低。如图8所示,可以建立沿第四部分866的负电压坡变,从而通过补偿撞击衬底的离子而维持鞘层电压。
因而,S1通过第一电感元件L1将第一电压V1暂时地连接到输出Vout并且然后断开,并且在一段时间之后,S2通过第一电感元件L1将第二电压(例如,地)连接到输出Vout并且然后断开。第三电压V3通过第二电感元件L2耦合至输出Vout。在这个实施方式中,第一电压V1可以高于第三电压V3,并且第一电压V1与输出Vout的暂时的连接和断开使得输出Vout的电压沿电压波形的第一部分860升高到第一电压电平Va,并且沿波形的第二部分862维持第一电压电平Va。第一电压电平Va可以超过第一电压V1,并且第二电压V2(例如,地)可以小于第一电压电平Va。第二电压V2的暂时的连接并且然后断开使得输出的电压在第三部分864处降低到低于第二电压V2(例如,地)的第二电压电平Vb。
作为示例,如图9所示,V1可以是-2000VDC;V2可以是地;V3可以是-5000VDC;V0可以是-7000VDC;Vb可以是-3000VDC;并且Va可以是3000VDC。但是,这些电压只是示例性的,从而为参考图7和图8描述的电压的相对幅值和极性提供语境。
接下来参考图10A-图10C,示出了用于提供图7和图9中所描绘的电压V1、V2和V3的两个DC电压源的可能布置。在图10A中,V2接地,并且形成两个DC电压源之间的公共节点。在图10B中,V1接地,并且V2形成DC电压源之间的公共节点。而且在图10C中,V1接地,并且形成两个DC电压源中的每个之间的公共节点。
在一些实施例中,如图11A、图11B和图11C所示,可以利用三个DC电压源来施加三个电压V1、V2和V3。如图11A所示,三个DC电压源中的每个可以耦合至地,并且三个DC电压源中的每个提供V1、V2和V3中的对应的一者。在图11B中,DC电压源之一接地,并且三个DC电压源串联布置。在图11C中,DC电压源之一设置在地和V2之间,并且DC电压源中的每个耦合至V2。
接下来参考图12,示出了可以用于实现偏置电源402、602的示例性偏置电源1202。如图所示,偏置电源1202包括开关控制器1204和用于提供第一电压V1、第二电压V2和第三电压V3的两个电压源。尽管出于清楚起见未示出,但是两个开关S1和S2耦合至开关控制器1204(例如,通过电或光学连接),从而使开关控制器1204能够使开关S1、S2断开和闭合,如下文所公开的。所示出的开关S1、S2可以是由可通过电或光学信号控制的单极开关、单掷开关、常开开关实现的。作为非限制性示例,开关S1、S2可以通过碳化硅金属氧化物半导体场效应晶体管(SiC MOSFET)实现。
而且示出了示例性控制器1260,其可以被实现到每一偏置电源的外壳内或者可以被实现为集中工具控制器的部分。如图所示,控制器1260被耦合以接收指示在偏置电源的输出Vout处由偏置电源1202施加的功率的信息(例如,电压和/或电流信息)。如图所示,控制器1260还耦合至开关控制器1204和这两个DC电压源,以使控制器1260能够控制偏置电源1202(例如,从而控制接近偏置电极的等离子体鞘层)。
此外,控制器1260包括监测电路1270、和室分析部件1272,监测电路1270用于测量由偏置电源1202施加的功率的至少一个特性,室分析部件1272被配置为基于从监测电路1270获得的所测量的功率的特性来计算等离子体处理室100内的环境的特性。而且在控制器1260中还示出了控制电路1274,控制电路1274用于调整由偏置电源1202施加的功率,以控制接近偏置电极的等离子体鞘层。在图12中,控制器1260和开关控制器1204被描绘成单独的结构,但是应当认识到,控制器1260和开关控制器1204可以被集成和/或可以共享公共的基础部件。例如,控制器1260和开关控制器1204可以共同位于同一印刷电路板上。作为另一个示例,控制器1260和开关控制器可以是通过包括与图13中描绘的计算装置类似或者相同的架构的系统实现的。
监测电路1270可以包括一个或多个传感器,诸如定向耦合器、V-I传感器、相位和增益传感器、电压传感器和电流传感器。本领域普通技术人员将认识到,所测量的功率的特性可以包括电压、电流、相位和功率。此外,监测电路1270可以包括模数转换部件,所述模数转换部件用于将来自(一个或多个)传感器的模拟信号转换成所测量的功率的特性的数字表示。在其他实施方式中,(一个或多个)传感器是与控制器1260分开的,并且监测电路1270包括模数转换部件,所述模数转换部件用于将来自(一个或多个)传感器的模拟信号转换成所测量的功率的特性的数字表示。在又一些实施方式中,(一个或多个)传感器包括感测元件和模数转换部件,并且监测电路1270可以接收功率的特性的数字表示。对等离子体处理室的环境的一个或多个特性的监测可以包括测量(用监测电路1270)由至少一个偏置电源施加的功率的至少一个特性。
室分析部件1272一般被配置为基于从监测电路1270获得的所测量的功率的特性确定等离子体处理室内的环境的特性。尽管可以在等离子体处理室100的外部的位置处测量(通过监测电路1270)功率,但是可以使用所测量的功率特性计算等离子体处理室100内的环境的特性。例如,使用方程1,可以使用与C1连接的Vout处的电压的测量结果计算接近偏置区带的区域中的离子电流。作为另一个示例,使用方程2,可以计算接近偏置区带的区域中的鞘层电容。
控制电路1274一般操作用于调整由偏置电源施加的功率,从而调整等离子体处理室100内的环境的方面。例如,可以调整接近一个区带(由偏置电源1202建立)的等离子体鞘层,和/或也可以调整离子电流。如图所示,控制器1260可以耦合至DC电压源和开关控制器1204;因而,参考图8,控制器1260可以用于调整电压Va、电压Vb、t1、T和第四部分866的斜率。如参考图8所讨论的,可以调整接近与偏置电源1202相关联的偏置区带的等离子体鞘层的电压。
参考图12,在这一实施方式(结合了图10A中描绘的实施例)中,在耦合至两个DC电压源的节点处提供第二电压V2并且第二电压V2耦合至地,但是在其他实施方式(例如,如上文参考图10B和图10C描述的)中,第二电压V2不需要接地。如图所示,第一开关S1被设置为将第一电压V1可开关地连接至公共节点770(对于S1和S2而言是公共的),并且第二开关S2被设置为将第二电压V2可开关地耦合至公共节点770。此外,第一电感元件L1被设置在公共节点和输出节点Vout之间。
在操作中,开关控制器1204被配置为使第一开关S1闭合,从而使输出节点Vout处的电压电平沿电压波形的第一部分860(处于电压V0和Va之间)升高到第一电压电平Va,沿波形的第二部分862维持第一电压电平Va,并且然后使第一开关S1断开。然后,开关控制器1204使第二开关S2闭合,从而使输出节点Vout处的电压波形的电平沿波形的第三部分864降低至第二电压电平Vb,并且然后开关控制器704使第二开关S2断开,使得S1和S2均断开。如图所示,沿第三部分864的负电压摆动影响鞘层电压(V鞘层);因而,可以控制Vb的幅值,以影响紧密靠近耦合至Vout的电极平面的鞘层电压。本领域技术人员将认识到Vb是可通过控制V1进行控制的,但是在这一实施方式中由于电感器L1的作用,Vb不等于V1。
在这一实施例中,第二电压源用作离子补偿部件以至少在第一和第二开关S1、S2断开的同时将第三电压V3通过第二电感元件L2施加至输出节点Vout,从而使输出节点处的电压波形的电平沿周期性非对称电压波形的第四部分866进一步降低。如图8所示,可以建立沿第四部分866的负电压坡变,从而通过补偿撞击衬底的离子而维持鞘层电压。
因而,S1通过第一电感元件L1将第一电压V1暂时地连接到输出Vout并且然后断开,并且在一段时间之后,S2通过第一电感元件L1将第二电压(例如,地)连接到输出Vout并且然后断开。第三电压V3通过第二电感元件L2耦合至输出Vout。在这个实施方式中,第一电压V1可以高于第三电压V3,并且第一电压V1与输出Vout的暂时的连接和断开使得输出Vout的电压沿电压波形的第一部分860升高到第一电压电平Va,并且沿波形的第二部分862维持第一电压电平Va。第一电压电平Va可以超过第一电压V1,并且第二电压V2(例如,地)可以小于第一电压电平Va。第二电压V2的暂时的连接并且然后断开使得输出的电压在第三部分864处降低到低于第二电压V2(例如,地)的第二电压电平Vb。
在实施例中,一个或多个偏置电源可以用于在室中具有参考衬底或者无衬底的情况下测量离子密度、鞘层电容或者其他室参数。可以执行一趟或多趟处理并且然后可以重复测量。通过这种方式,可以监测对室的改变。
如果使用了硅顶盖,那么可以使用一个或多个偏置电源402、602、702、1202监测区域性离子密度和/或其他室参数。硅顶盖(也被称为硅真空密封件)通常是耗材,但是可能不是以均匀方式消耗的。使用多个偏置电源402、602、702、1202测量区域性等离子体特性可以提供一种推断硅真空密封件中的非均匀的改变的手段。可以使用这一随着时间的推移的反馈来调整(一个或多个)RF源300和/或偏置电源402、602、702、1202,以考虑硅真空密封件中的时变非均匀性。此外,可以使用这一反馈来确定硅真空密封件何时需要更换。在另一个实施例中,可以将一个或多个偏置电源402、602、702、1202耦合至与这一硅真空密封件相邻的电极(例如,在室的顶部)。由于可以使用偏置电源402、702、1202修改或甚至消除等离子体鞘层,因而可以使用这一顶部安装的偏置电源402、602、702、1202来使硅真空密封件和等离子体之间的等离子体鞘层最小化或甚至消除该等离子体鞘层。按此方式,与当前工艺相比可以减少对硅真空密封件的腐蚀或消耗。
在这些线中,可以将每一偏置电源402、602、702、1202和对应的电极布置在处理室的各个位置处,以便对等离子体鞘层进行局部控制,并且由此减少或者消除该室的某些区域或部件的离子轰击。可以使用离子密度和鞘层电容及其局部变化来监测室清洁度。例如,局部离子密度随着时间推移的改变可以指示局部室表面已经积聚了一个或多个膜。在另一个实施例中,空间中分布的多个静电卡盘电压可以用于影响区域性离子密度。
联系文中公开的实施例描述的方法可以直接体现在硬件中,可以体现在被编码到非暂态有形处理器可读存储介质中的处理器可执行代码中,或者可以体现在两者的组合中。参考图13,例如,示出了描绘根据示例性实施例的可以用于实现源生成器300以及偏置电源402、602、702、1202的各个控制方面的物理部件的框图。如图所示,在这一实施例中,显示器部分1312和非易失性存储器1320耦合至总线1322,总线1322还耦合至随机存取存储器(“RAM”)1324、处理部分(其包括N个处理部件)1326、现场可编程门阵列(FPGA)1327以及包括N个收发器的收发器部件1328。虽然图13所描绘的部件表示物理部件,但是图13并非旨在给出详细的硬件图;因而图13中描绘的部件中的很多部件可以是通过常见构造实现的、或者可以分布在额外的物理部件中。此外,可以设想,可以利用其他现有的以及尚未开发出的物理部件和架构来实施参考图13描述的功能部件。
这一显示器部分1312一般地操作用于为用户提供用户界面,并且在几个实施方式中,所述显示器是通过触摸屏显示器实现的。一般而言,非易失性存储器1320是非暂态存储器,所述非暂态存储器的功能在于存储(例如,永久性存储)数据和处理器可执行代码(包括与实施文中描述的方法相关联的可执行代码)。在一些实施例中,例如,非易失性存储器1320包括引导加载程序代码、操作系统代码、文件系统代码以及非暂态处理器可执行代码,以促进如上文参考图1-图12所述的对衬底或等离子体处理室100的不同局部区域进行偏置的方法的执行。监测电路1270、室分析部件1272和控制电路1272中的一个或多个可以至少部分地由非暂态处理器可执行代码实现。
在很多实施方式中,非易失性存储器1320是通过闪速存储器(例如,NAND或ONENAND存储器)实现的,但是设想到也可以利用其他存储器类型。尽管有可能从非易失性存储器1320执行所述代码,但是非易失性存储器中的可执行代码通常被加载到RAM 1324中并由处理部分1326中的N个处理部件中的一个或多个执行。
与RAM 1324连接的N个处理部件一般地操作用于执行存储在非易失性存储器1320中的指令,从而实现本文公开的算法和功能的执行。应当认识到,本文公开了几个算法,但是这些算法中的一些未通过流程图表示出来。实施如图1-图12中所示并且相对于图1-图12描述的对衬底或室的不同局部区域进行偏置的方法的处理器可执行代码可以被永久性地存储在非暂态存储器1320中并且由与RAM 1324连接的N个处理部件执行。本领域普通技术人员将认识到,处理部分1326可以包括视频处理器、数字信号处理器(DSP)、微控制器、图形处理单元(GPU)或者其他硬件处理部件或硬件和软件处理部件的组合(例如,FPGA或者包括数字逻辑处理部分的FPGA)。
另外地或者替代性地,非暂态FPGA配置指令可以被永久性地存储在非易失性存储器1320中并且被访问(例如,在启动期间),以将现场可编程门阵列(FPGA)配置为实施本文公开的算法,以及实现控制器1260的功能中的一项或多项或者RF源300和偏置电源402、602、702、1202的其他方面。
输入部件1330操作用于接收指示等离子体处理室100内的环境的一个或多个方面和/或源生成器300与偏置电源402、602、702、1202之间的同步控制的信号(例如,电流、电压以及偏置电源和源生成器之间的相位信息和/或同步信号)。在输入部件处接收的信号可以包括(例如)同步信号、针对各种生成器和电源单元的功率控制信号、或者来自用户接口的控制信号。输出部件一般地操作用于提供用于实施本文公开的控制偏置电源的操作性方面(例如,对等离子体处理室100内的衬底和/或其他部件的局部偏置)的一个或多个模拟或数字信号,和/或提供用于实施RF源和偏置电源之间的同步的信号。例如,输出部分1332可以提供偏置电源402、602、702、1202与源生成器300之间的同步信号。
所描绘的收发器部件1328包括N个收发器链,其可以用于经由无线或有线网络与外部装置通信。所述N个收发器链中的每个可以表示与具体通信方案(例如,WiFi、以太网、Profibus等)相关联的收发器。
本领域技术人员应当认识到,本公开的各方面可以被体现为系统、方法或者计算机程序产品。相应地,本公开的各方面可以采取完全硬件实施例的形式、完全软件实施例(包括固件、驻留软件、微代码等)的形式、或者组合了软件方面和硬件方面的实施例的形式,它们在本文中可以被概括地称为“电路”、“模块”或“系统”。此外,本公开的各方面可以采取体现在一种或多种计算机可读介质中的计算机程序产品的形式,所述计算机可读介质具有体现于其上的计算机可读程序代码。
如本文所使用的,“A、B或C的至少其中之一”的叙述旨在表示“要么为A、B、C,要么为A、B和C的任何组合”。提供前文对所公开的实施例的描述是为了使任何本领域技术人员能够做出或者使用本公开。对这些实施例的各种修改对于本领域的技术人员而言将是显而易见的,并且本文中所限定的一般性原则可以适用于其他实施例,而不脱离本公开的精神或范围。因此,本公开并非旨在局限于文中所示出的实施例,而是将被赋予与文中公开的原理和新颖特征一致的最宽范围。

Claims (17)

1.一种用于等离子体处理的系统,所述系统包括:
等离子体处理室,所述等离子体处理室包括:
源,所述源用于在所述处理室中提供等离子体;
布置在所述等离子体处理室内的至少两个偏置电极,所述至少两个偏置电极用于控制接近所述偏置电极的等离子体鞘层;以及
卡盘,所述卡盘被设置为支撑衬底;
至少一个偏置电源,所述至少一个偏置电源耦合至所述至少两个偏置电极;以及
控制器,所述控制器用于控制所述至少一个偏置电源,以向所述至少两个偏置电极中的每个施加非对称周期性电压波形,从而控制接近所述偏置电极的所述等离子体鞘层。
2.根据权利要求1所述的系统,其中,所述源包括远程等离子体源或源生成器的至少其中之一。
3.根据权利要求1所述的系统,其中,所述卡盘设置在所述等离子体的鞘层和所述至少两个偏置电极之间,以使所述至少两个偏置电极能够更改所述鞘层的影响离子轨迹或者离子能量相对于所述衬底的空间分布的至少其中之一的一部分。
4.根据权利要求3所述的系统,其中,所述至少两个偏置电极之一的一部分沿所述卡盘的边缘设置,以更改所述鞘层的影响离子轨迹或者离子能量相对于所述衬底的边缘的空间分布的至少其中之一的一部分。
5.根据权利要求1所述的系统,其中,所述控制器包括:
监测电路,所述监测电路用于测量由所述至少一个偏置电源施加的所述功率的至少一个特性;
室分析部件,所述室分析部件被配置为基于从所述监测电路获得的所测量的所述功率的特性来确定所述等离子体处理室内的环境的特性;以及
控制电路,所述控制电路用于调整由所述至少一个偏置电源施加的所述功率,以控制接近所述偏置电极的所述等离子体鞘层。
6.根据权利要求5所述的系统,其中,所述室分析部件被配置为计算接近所述偏置电极的所述等离子体鞘层的鞘层电容,并且所述控制电路被配置为调整所施加的功率的至少一个特性,以调整所述鞘层电容。
7.根据权利要求5所述的系统,其中,所述控制器包括集成到所述至少一个偏置电源内的集成控制器或者控制所述等离子体处理系统的多个部件的系统控制器的至少其中之一。
8.根据权利要求1所述的系统,包括耦合至所述等离子体电极的源生成器。
9.根据权利要求2所述的系统,其中,所述源生成器是RF生成器。
10.一种用于处理等离子体处理室中的衬底的方法,所述方法包括:
在所述等离子体处理室中产生等离子体;
用对应的多个偏置电源向所述等离子体处理室中的多个区带中的每个施加非对称周期性电压波形;以及
调整所述非对称周期性电压波形的一个或多个特性,以更改等离子体鞘层的对应的部分。
11.根据权利要求10所述的方法,包括:
向所述等离子体处理室中定位为接近衬底的多个区带中的每个施加所述非对称周期性电压波形。
12.根据权利要求10所述的方法,包括向对应于所述衬底的边缘的区带施加所述非对称周期性电压波形中的至少其中之一。
13.根据权利要求12所述的方法,包括向对应于所述衬底的所述边缘的所述区带施加所述非对称周期性电压波形,以抑制接近所述衬底的所述边缘的所述等离子体的密度。
14.根据权利要求10所述的方法,包括将所述偏置电源之一和所述至少一个源生成器耦合至公共电极。
15.根据权利要求10所述的方法,包括针对一个或多个顶部区带调整非对称周期性电压波形,以更改接近所述等离子体处理室的顶板的等离子体鞘层的对应的一个或多个部分。
16.根据权利要求10所述的方法,包括:
测量由所述偏置电源中的一个或多个施加至所述区带中的一个或多个的所述非对称周期性电压波形的特性;
基于所测量的所述功率的特性来计算所述等离子体处理室内的环境的特性;以及
调整由所述至少一个偏置电源施加的所述非对称周期性电压波形,以控制接近所述一个或多个区带的所述等离子体鞘层。
17.一种非暂态计算机可读介质,所述非暂态计算机可读介质包括存储于其上的指令,所述指令用于由处理器执行或者用于对现场可编程门阵列进行配置,以执行等离子体处理,所述指令包括用于执行下述操作的指令:
控制远程等离子体源或源生成器的至少其中之一,以在所述等离子体处理室中产生等离子体;
控制多个偏置电源,以向所述等离子体处理室中的多个区带中的每个施加非对称周期性电压波形;以及
控制所述多个偏置电源中的一个或多个,以调整所述非对称周期性电压波形的一个或多个特性,从而更改等离子体鞘层的对应的部分。
CN201880086817.1A 2017-11-17 2018-11-16 对等离子体处理的离子偏置电压的空间和时间控制 Active CN111788655B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762588224P 2017-11-17 2017-11-17
US62/588,224 2017-11-17
PCT/US2018/061653 WO2019099925A1 (en) 2017-11-17 2018-11-16 Spatial and temporal control of ion bias voltage for plasma processing

Publications (2)

Publication Number Publication Date
CN111788655A true CN111788655A (zh) 2020-10-16
CN111788655B CN111788655B (zh) 2024-04-05

Family

ID=66532551

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880086817.1A Active CN111788655B (zh) 2017-11-17 2018-11-16 对等离子体处理的离子偏置电压的空间和时间控制

Country Status (7)

Country Link
US (4) US10707055B2 (zh)
EP (2) EP4376061A2 (zh)
JP (2) JP7289313B2 (zh)
KR (1) KR20200100642A (zh)
CN (1) CN111788655B (zh)
TW (3) TW202329762A (zh)
WO (1) WO2019099925A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11842884B2 (en) 2017-11-17 2023-12-12 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2022541004A (ja) * 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021206684A1 (en) * 2020-04-06 2021-10-14 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022173626A1 (en) * 2021-02-09 2022-08-18 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) * 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399183A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230238216A1 (en) * 2022-01-26 2023-07-27 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240145215A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Pulsed voltage plasma processing apparatus and method

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020186018A1 (en) * 2001-06-06 2002-12-12 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
CN101242703A (zh) * 2007-01-30 2008-08-13 应用材料股份有限公司 通过分配多个vhf源中功率改善晶圆上等离子体处理均匀性
JP2010186841A (ja) * 2009-02-12 2010-08-26 Hitachi High-Technologies Corp プラズマ処理方法
CN101978461A (zh) * 2008-03-20 2011-02-16 波鸿-鲁尔大学 在射频等离子体中控制离子能量的方法
CN101990353A (zh) * 2009-08-04 2011-03-23 东京毅力科创株式会社 等离子处理装置和等离子处理方法
US20110253672A1 (en) * 2010-04-19 2011-10-20 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20120318456A1 (en) * 2010-08-29 2012-12-20 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US20140061156A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
CN104521322A (zh) * 2011-11-22 2015-04-15 朗姆研究公司 用于控制等离子体边缘区域的系统和方法
US20150170925A1 (en) * 2013-12-17 2015-06-18 Tokyo Electron Limited System and method for controlling plasma density
CN104769707A (zh) * 2012-08-28 2015-07-08 先进能源工业公司 用于监测开关模式离子能量分布系统的故障、异常和其它特性的系统和方法
CN104955980A (zh) * 2011-11-10 2015-09-30 朗姆研究公司 用于等离子体鞘电压控制的系统、方法和装置
CN106356274A (zh) * 2015-07-13 2017-01-25 朗姆研究公司 通过等离子体操作调节极端边缘鞘和晶片轮廓

Family Cites Families (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2548017B1 (fr) 1983-06-16 1986-08-29 Tissot Jean Perfectionnements aux appareils de massage pneumatique
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH02141572A (ja) 1988-11-24 1990-05-30 Hitachi Ltd バイアススパツタリング法および装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH0833862B2 (ja) 1989-10-23 1996-03-29 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン オブジエクト指向コンピユータ・システム
US5179264A (en) 1989-12-13 1993-01-12 International Business Machines Corporation Solid state microwave powered material and plasma processing systems
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5410691A (en) 1990-05-07 1995-04-25 Next Computer, Inc. Method and apparatus for providing a network configuration database
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
KR970005035B1 (ko) 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5517084A (en) 1994-07-26 1996-05-14 The Regents, University Of California Selective ion source
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
KR100542459B1 (ko) 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
AU2001239906A1 (en) 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
JP4088499B2 (ja) 2002-08-28 2008-05-21 株式会社ダイヘン インピーダンス整合器の出力端特性解析方法、およびインピーダンス整合器、ならびにインピーダンス整合器の出力端特性解析システム
US7931787B2 (en) 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
EP1689907A4 (en) 2003-06-19 2008-07-23 Plasma Control Systems Llc METHOD AND DEVICE FOR PRODUCING PLASMA, AND RF ATTACK CIRCUIT WITH ADJUSTABLE USE FACTOR
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4411282B2 (ja) 2003-11-27 2010-02-10 株式会社ダイヘン 高周波電力供給システム
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4739793B2 (ja) 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
KR20080072642A (ko) 2005-10-31 2008-08-06 엠케이에스 인스트루먼츠, 인코포레이티드 고주파 전력 전달 시스템
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
JP2007336148A (ja) 2006-06-14 2007-12-27 Daihen Corp 電気特性調整装置
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7811939B2 (en) 2006-03-27 2010-10-12 Tokyo Electron Limited Plasma etching method
EP1845755A3 (en) 2006-04-10 2014-04-02 EMD Technologies, Inc. Illumination systems
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2007311182A (ja) 2006-05-18 2007-11-29 Tokyo Electron Ltd 誘導結合プラズマ処理装置およびプラズマ処理方法
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
DE102006034755A1 (de) 2006-07-24 2008-01-31 Carl Zeiss Smt Ag Optische Vorrichtung sowie Verfahren zur Korrektur bzw. Verbesserung des Abbildungsverhaltens einer optischen Vorrichtung
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
JP2008157906A (ja) 2006-12-25 2008-07-10 Adtec Plasma Technology Co Ltd 出力インピーダンス検出方法およびこの方法を用いたインピーダンスのセンサー、高周波電源につながる負荷側の電力モニターならびに高周波電源の制御装置
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US8181490B2 (en) 2007-04-25 2012-05-22 Seamless Technologies. LLC Vacuum sealed paint roller cover package and method of making the same
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
JP5231038B2 (ja) 2008-02-18 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびに記憶媒体
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
JP5124344B2 (ja) 2008-05-26 2013-01-23 株式会社アルバック バイポーラパルス電源及び複数のバイポーラパルス電源からなる電源装置並びに出力方法
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
EP2299922B1 (en) 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
JP5372419B2 (ja) 2008-06-25 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP4580040B2 (ja) 2008-07-31 2010-11-10 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011032149A2 (en) 2009-09-14 2011-03-17 Board Of Regents, The University Of Texas System Bipolar solid state marx generator
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
WO2011119611A2 (en) 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) * 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
KR101675625B1 (ko) 2011-01-04 2016-11-22 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 처리 부하에 대한 시스템 레벨 전원 공급
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
JP6203476B2 (ja) * 2011-03-08 2017-09-27 東京エレクトロン株式会社 基板温度制御方法及びプラズマ処理装置
JP5718124B2 (ja) 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130006555A1 (en) 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9283635B2 (en) 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9790282B2 (en) 2013-03-25 2017-10-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Anti-CD276 polypeptides, proteins, and chimeric antigen receptors
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6231399B2 (ja) 2014-02-17 2017-11-15 キヤノンアネルバ株式会社 処理装置
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9659751B2 (en) 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) * 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102124407B1 (ko) 2016-01-18 2020-06-18 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US20210351007A1 (en) 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020186018A1 (en) * 2001-06-06 2002-12-12 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
CN101242703A (zh) * 2007-01-30 2008-08-13 应用材料股份有限公司 通过分配多个vhf源中功率改善晶圆上等离子体处理均匀性
CN101978461A (zh) * 2008-03-20 2011-02-16 波鸿-鲁尔大学 在射频等离子体中控制离子能量的方法
JP2010186841A (ja) * 2009-02-12 2010-08-26 Hitachi High-Technologies Corp プラズマ処理方法
CN101990353A (zh) * 2009-08-04 2011-03-23 东京毅力科创株式会社 等离子处理装置和等离子处理方法
US20110253672A1 (en) * 2010-04-19 2011-10-20 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20120318456A1 (en) * 2010-08-29 2012-12-20 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
CN104955980A (zh) * 2011-11-10 2015-09-30 朗姆研究公司 用于等离子体鞘电压控制的系统、方法和装置
CN104521322A (zh) * 2011-11-22 2015-04-15 朗姆研究公司 用于控制等离子体边缘区域的系统和方法
CN107068529A (zh) * 2011-11-22 2017-08-18 朗姆研究公司 用于控制等离子体边缘区域的系统和方法
US20140061156A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
CN104769707A (zh) * 2012-08-28 2015-07-08 先进能源工业公司 用于监测开关模式离子能量分布系统的故障、异常和其它特性的系统和方法
US20150170925A1 (en) * 2013-12-17 2015-06-18 Tokyo Electron Limited System and method for controlling plasma density
CN106356274A (zh) * 2015-07-13 2017-01-25 朗姆研究公司 通过等离子体操作调节极端边缘鞘和晶片轮廓

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842884B2 (en) 2017-11-17 2023-12-12 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Also Published As

Publication number Publication date
US20220285131A1 (en) 2022-09-08
JP7289313B2 (ja) 2023-06-09
US20190157043A1 (en) 2019-05-23
US11842884B2 (en) 2023-12-12
TW202218487A (zh) 2022-05-01
TW202329762A (zh) 2023-07-16
JP2021503701A (ja) 2021-02-12
EP4376061A2 (en) 2024-05-29
TW201933422A (zh) 2019-08-16
TWI792598B (zh) 2023-02-11
US20210005428A1 (en) 2021-01-07
WO2019099925A1 (en) 2019-05-23
US11282677B2 (en) 2022-03-22
CN111788655B (zh) 2024-04-05
US20230395354A1 (en) 2023-12-07
KR20200100642A (ko) 2020-08-26
TWI744566B (zh) 2021-11-01
EP3711081A1 (en) 2020-09-23
EP3711081A4 (en) 2021-09-29
US10707055B2 (en) 2020-07-07
JP2023113754A (ja) 2023-08-16

Similar Documents

Publication Publication Date Title
CN111788655B (zh) 对等离子体处理的离子偏置电压的空间和时间控制
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
US11610761B2 (en) Synchronization between an excitation source and a substrate bias supply
US20240071721A1 (en) System, method, and apparatus for controlling ion energy distribution in plasma processing systems
JP2022541004A (ja) 単一制御型スイッチを伴うバイアス供給装置
TWI801845B (zh) 用於提供電壓之系統和設備以及相關的非暫時性有形處理器可讀取儲存媒體
WO2022173626A1 (en) Spatial monitoring and control of plasma processing environments

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant