JP4819267B2 - パルスプラズマ処理方法および装置 - Google Patents

パルスプラズマ処理方法および装置 Download PDF

Info

Publication number
JP4819267B2
JP4819267B2 JP2001516957A JP2001516957A JP4819267B2 JP 4819267 B2 JP4819267 B2 JP 4819267B2 JP 2001516957 A JP2001516957 A JP 2001516957A JP 2001516957 A JP2001516957 A JP 2001516957A JP 4819267 B2 JP4819267 B2 JP 4819267B2
Authority
JP
Japan
Prior art keywords
gas
plasma
reactor
chamber
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001516957A
Other languages
English (en)
Other versions
JP2003507880A (ja
Inventor
ジョンソン、ウェイン・エル
ストラング、エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003507880A publication Critical patent/JP2003507880A/ja
Application granted granted Critical
Publication of JP4819267B2 publication Critical patent/JP4819267B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electrically Driven Valve-Operating Means (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、気体種がイオン化され、解離され、あるいはプラズマ中で変更されて、この変更された気体種もしくはその成分が基板を打つように発生されるプラズマアシスト基板処理(plasma assisted substrate processing)のモードに関する。
【0002】
【従来の技術】
過去数十年に渡って、様々の産業で、プラズマアシスト基板処理の需要の増加が見られた。特に、半導体産業においてこの需要の増加は顕著であった。半導体産業では、基本的な処理工程に、反応イオンエッチング(RIE)と、プラズマアシスト化学気相成長(CVD)と、スパッタリングと、反応スパッタリングと、イオンアシスト物理気相成長(PVD)とが含まれている。これらの産業で一般に利用される処理は、通常、連続的なモードで実施される。即ち、経時的か一時的に変更されるかもしくは変調(modulation)される唯一のパラメータは、無線周波数(radio frequency)(RF)エネルギーである。これは、本質的に、RF励起信号期間に変化する。
【0003】
事実、RF期間と比較してもいぜんとして長いが、処理時間に比べて短い時間に起こる処理パラメータの一時的な調整は、調査のために選択された幾つかの領域に限定されている。気体種の一時的な調整は、原子層エピタキシー(atomic layer epitaxy)(ALE)と、パルス(pulsed)ジェットエピタキシー(pulsed jet epitaxy)(PJE)と、ALEの派生物とで利用される。また、ごく最近、RFパワーの一時的な変調が、電子温度制御の結果として意図的に選択性を改良することを実証している。
【0004】
これまで研究され用いられてきたRFパワー(power)の一時的な変調は、単に、RF振幅の一時的な変調を伴って生じた。断続的な機能(時間の)を処理する際には、離散フーリエスペース(discrete Fourier space)でこれらの機能を表わすのが従来的である。即ち、
【数1】
Figure 0004819267
【0005】
ここで、Aは、フーリエ振幅であり、ωは、角周波数(=2πnf)である。一般に、適用されたRF信号は、上述の形式を取る。ここで、フーリエ調波振幅Aは、時間とは無関係である。しかしながら、パルスRF(pulsed RF)の適用は、一般にA=A(t)を有する。
【0006】
エッチングと、蒸着と、関連した処理とを実行する際にRFパワーをパルス化(pulsing)することと組み合わせられるパルス化ガスの概念が、例えばHeinecke等への米国特許4,824,690号に開示されている。この特許は、振動させる方法で夫々異なったガスを処理チャンバに交互に、処理ガス交換レートと時間尺度で一致する交換レート(exchange rate)で導入することと、各ガスの交換サイクルの始めと一致するRFパワーを同時にパルス化することとを考慮したプラズマリアクを提案している。RFパワーは、各ガスの導入パルス(pulse)の開始のパルスの幅が50乃至500ミリ秒(msec)である(ほぼ0.1乃至1%のデューティーサイクル(duty cycle))ほぼ60kWとオフの間でパルス化される。Heinecke等への米国特許4,935,661号は、高圧下のガス吸気をパルス化することによってガス交換レートが改良され得ることを開示している。 上述のHeinecke等への2件の特許は蒸着処理に方向付けられているが、これらは、エッチングのような他の処理のために開示された技術の適用についても言及している。
【0007】
先にも言及したように、ガス種の一時的調整は、いくつかの学問分野に及ぶ。それは、原子層エピタキシー(atomic layer epitaxy)(ALE)、化学気相成長(CVD)、分子ビームエピタキシー(MBE)、パルスジェットエピタキシー(PJE)、パルス分子ビーム、パルスガス注入、およびパルスガスバルブを含んでいる。特許文献では、パルスジェット;作動(run)/通気(vent);およびパルス“列(train)”;のパルスガス注入の3つのタイプが確認されてきた。パルスジェット注入は、その名前が意味するように、ガスを一連の個別のパルスの形状で注入するために、ガス注入を制御することによって達成される。作動/排出構造において、1つまたはそれ以上のガス流は、(“作動”)チャンバ注入または(“通気”)排出間で交互に切り換えられる。排出すなわち通気システムは、チャンバ状態(すなわち圧力など)を模倣(mimic)しなければならない。パルス“列”ガス注入は、一次搬送ガス内への周期的注入によって、異なったガスが周期的にその中に導入されるような連続的に流れる搬送ガスを使用し、それによってガス“列”を生成する。隣接する種間のガス拡散を最小にするために、ガスパルスは、搬送ガスが拡散バリヤーとして作用することを可能にするために、十分低いデューティーサイクル(duty cycle)で操作する。換言すれば、2つまたはそれ以上の異なったが処理ガスが交互に注入される場合、両方または全ての処理ガスの流れは、いずれかのガスの搬送流内への注入に先立って短い周期で阻止され、それによって、2つまたはそれ以上の処理ガスの連続する一定量は互いに分離される。これらの3つのタイプのパルスガス注入は、例えば、Blakesleeの米国特許3,721,583号;Boucherの米国特許3,979,235号;Suntola等の米国特許4,058,430号;およびSuntola等の米国特許4,413,022号に記載されている。
【0008】
公知のALE処理中のガスの交換は、しばしば低圧注入下と、リアクタ(reactor)ガス滞留時間、すなわち、数分から数十分よりも十分に長いガス交換周期にわたって起きる。
PJEは、ALEの延長であり、高いレートで超音波ジェットを持って操作する。Ozaki等のいくつかの文献は100ミリ秒程度の低い周期を有するガス交換レートでの高速ジェットの使用に関する。これらの文献は、Appl.Phys.Lett.、53巻16頁(1988)の「速いガスを使用したGaAsの原子層エピタキシーへの新しいアプローチ」;薄いソリッドフィルム、174巻頁(1989)の「新しい原子層エピタキシー技法によるGaAsとAlAsの成長」;および、J.Crys.Growth、107巻頁(1991)の「III−V混合物のパルスジェットエピタキシー」である。
【0009】
さらに、Eres等の米国特許5,164,040号は、処理ガスを数トール(Torr)から1平方インチ当たり200ポンド(200Psi)の範囲の分布圧力で提供する供給リザーバによって供給されたパルス超音波ジェットの列を採用するPJE技法を開示している。さらに、複数のジェットは、いかなる周波数でもパルス化でき、互いに関して位相を合わせられる。
ALEと同様に、PJE技法は選択的エピタキシーを促進し、高度に均一な蒸着を生成できる。
【0010】
最後に、パルス分子ビームの研究は、短い一時的パルス幅および高反復レートを有するパルス分子ビーム生成の可能性を示唆している。代表的なものとして、文献は、車両燃料注入バルブおよび圧電装置にある程度類似した高速EMバルブを使用して、パルス分子ビームが発生されてきたことを報告している。例示によれば、このタイプの技術は次のような文献に述べられている。すなわち、GentryおよびGieseによるJ.Chem.Phys.、67巻11頁(1977)の「HD+He衝突における解決された単一量子回転励磁……」;Balle等によるJ.Chem.Phys.、72巻2頁(1979)の「弱い分子複合物の回転スペクトルを観察するための新規な方法……」;Bassi等によるRev.Sci.Instrum.、52巻1頁(1981)の「パルス分子ビームソース」;Cross等によるRev.Sci.Instrum.、53巻38頁(1982)の「高反復レートパルスノズルビームソース」;およびAndresen等によるRev.Sci.Instrum.、56巻11頁(1985)の「圧電パルスノズルビームの特性」である。フライト時間(time-of-flight)からの結果と蛍光測定を引き起こされたUVレーザは、50μ秒程度に短いパルス時間と、1000Hz程度に高い反復レートが達成されることを示している。
【0011】
RFパワーをプラズマにパルス化することは、チャージ損傷(charging damage)に影響を及ぶすのと同様に、選択性、および/または、均一性を促進するために主として利用されてきた。従来の研究の焦点は、オフとオンとの間でRFパワーを一時的に変調し、それにより、パルス幅とパルス反復レート(PRR)を変調することによって改良された選択性と均一性とを達成する。特に、従来、プラズマにおいて解離を生成しついでエッチングまたは付着化学物の反応物を制御するために、一時的にRFパワーを変調することが提案されてきた。RFパワーのパルス変調は、本質的にパルスサイクルを超える平均的感覚で電子温度を減少し、そして、電子エネルギー分布の時間平均に直接影響をおよぼす。プラズマ内の分子の解離とイオン化の程度またはレート(rate)は、電子の数と衝突断面積に比例し、後者は電子エネルギーに依存する。引き続いて、プラズマ内の電子エネルギー分布を制御することによって、基板処理用の化学反応物を制御できる。
【0012】
Hou等への米国特許3,677,799号は、硼素コーティング蒸着を制御するためにパルスRFパワーを使用することを開示している。Gorin等への米国特許4,263,088号は、エッチング処理の終了を決定するための放射分光学の使用を開示している。ここでは、RFパワーが、連続モードからパルス化モードに切り換えられる。いくつかの他の特許は、反応物をかなり枯渇させる時間と比較して少ない時間尺度でRFパワーをパルス化することを示唆している。例えば、Engle等への米国特許4,401,507号と、Ellenberger等への米国特許4,500,563号を参照してほしい。そのようなパルス化はエッチング/蒸着の選択性と均一性を促進するために示されてきた。
【0013】
ごく最近、エッチング選択性を制御するためのRFパルス化の使用が報告されている。Sugai等によるMat.Res.Soc.Symp.Proc.、406巻15頁(1977)の「高密度プラズマの診断(diagnostics)と制御」は、誘電的に結合されたプラズマリアクへの改良された診断の達成を述べている。パルス幅、振幅および反復レートの変化を通じて、SiOからSへの選択性の改良は、CFからCF、CFおよびFへの相対的濃度を制御することによって達成されてきた。事実、SamukawaによるJpn.Appl.Phys.、33(1)巻2133頁(1994)の「パルス時間変調されたECRプラズマにおける高度に選択性の、また、高度に異方性のSiOエッチング」は、CFからFへの比率とパルス持続期間との間の直接的関係を確認している。さらに、環境にやさしい半導体製造推進用のNSF/SRCエンジニアリングリサーチセンターの遠隔会議(1997年11月6日)の、Labelle等による「パルスPECVDフルオロカーボン薄膜の特性の前兆の影響」は、パルスPECVDフルオロカーボン薄膜の研究における高められたCF比率を報告している。
【0014】
酸化エッチング処理における改良された選択性に加えて、Samukawa等によるJ.Vac.Sci.Technol.B、12(6)巻3300頁(1994)の「高度に選択性のパルス時間変調電子サイクロトロン共振プラズマエッチング……」は、パルスRFパワーを使用するポリシリコンエッチングにおけるイオンエネルギースペクトルの制御を議論している。Yeon等によるJ.Vac.Sci.Technol.B、15(1)巻66頁(1997)の「無線周波数パワー変調による微粒子構成とその制御の研究……」は、RF変調による微粒子構成を減少することを主張している。
【0015】
さらに、Ono等による1998年のドライ処理シンポジューム(Vl−5)、141〜146頁の「時間変調バイアス方法によるポリSiエッチングの選択性とプロフィル制御」は、改良されたポリSiからSiOエッチングの選択性、改良されたエッチングの異方性、および、パルスがチャック(chuck)バイアスを調整した場合の抑制されたマイクロトレンチ現象を報告している。
【0016】
最後に、Ohtake等によるドライ処理シンポジューム(V−1)、97〜102頁の「パルス時間変調プラズマによるトポグラフィー(topography)依存チャージ損傷の減少」、および、Matui等によるドライ処理シンポジューム(IV−2)、85〜90頁の「極微細構造のチャージ構築のパルス変調されたプラズマの影響」は、トポグラフィー依存チャージ損傷の軽減に関するパルス変調されたプラズマを調査している。
【0017】
【発明が解決しようとする課題】
ガス種またはプラズマに供給されたRFパワーを一時的に変調(またはパルス化)する概念はこのようにして知られている。事実、これらの概念は、すでに述べたように広範囲にわたって調査されてきた。しかしながら、誘電的に結合されたプラズマ(ICP)技術に基づく公知のウエハー処理技術は、反応化学物およびイオンボンバードメント(ion bombardment)の独立した制御に欠けている。例えば、処理ガスの解離によって最適なエッチング反応物を製造するために必要なプラズマ状態は、最適なイオンエネルギー(およびイオンエネルギー分布)を基板に供給するために必要な状態とは同一ではない。
さらに、公知のチャンバ構造は、反応ガスの有効な使用、または、蒸発したエッチング生成物を有効に除去することができない。
【0018】
【課題を解決するための手段】
本発明の主要な目的は、誘電的に結合されたプラズマ(IPC)化学技術に基づく公知のウエハー処理手順に固有の複数の制限を除去することである。
本発明のより詳細な目的は、エッチングの選択性と側壁外形を改良するために、異なった処理段階を最適化するための方向へ処理変数の独立制御を可能にすることである。
本発明の他の詳細な目的は、ガス使用効率を改良し、それゆえポンプ速度要求を減少するために、パルスガスおよびパルスRF変調を利用することである。
本発明のさらに他の詳細な目的は、明らかに異なった一連の(時間における)処理ステップを可能にし、与えられた処理ステップ用に明らかに異なった状態に影響するために、パルスガス、および/または、パルスRF変調を利用することであり、一連の処理ステップが基板へのより大きな処理用に組み合わされている。
【0019】
本発明によれば、上述のおよび他の目的は、リアクチャンバの基板にプラズマアシスト処理を施すための方法において:少なくとも1つの処理ガスをリアクチャンバ内に導入し;チャンバ内でRF電磁場を確立し電磁場を処理ガスと相互作用することによってリアク内でプラズマを生成し;各エネルギーレベル値が基板の各異なった処理プロセスを生成するかまたはその達成と関連されるように、電磁場が、いずれもプラズマを維持するのに十分な少なくとも2つの異なった値間で周期的に変化するエネルギーレベルを有するようにし、各エネルギーレベル値は、基板への各異なった処理プロセスを製造するか、またはその実行と関連付けられている方法によって達成される。
【0020】
【発明の実施の形態】
本発明の有意な態様によれば、プラズマを維持するために処理チャンバに供給されるRFパワーは、2つの値の間で一時的に変調される。これら値の夫々は、プラズマを維持するのに十分である。各パワーの値は、夫々異なった基板処理プロセスの実施を実行させるか、この実行と関係している。
【0021】
ここで考慮されているタイプのシステムにおいて、基板は、RFバイアスパワー(RF bias power)が、イオンを基板表面に引き付けるように働くDC自己バイアスを生じるように適用されるチャック(chuck)すなわち基板ホルダーに設けられる。後述するように、プラズマを維持するか発生するという主要な目的のために、誘導コイル(好ましいアプローチ)もしくは基板の反対側の電極(容量性放電におけるように)に適用されるRFパワーは、RFソースパワー(RF source power)として説明されている。プラズマから基板表面にイオンを引き付けるという主要な目的のために、チャックすなわち基板ホルダーに適用されたRFパワーは、RFバイアスパワーとして説明されている。RFパワーに限って言及すると、RFパワーのどちらか一方もしくは両方のタイプを適用し得る状況に適用する。
【0022】
RFソースパワーおよび/もしくはRFバイアスパワーの変調は、気体種が処理中に変化しないとき、もしくは夫々パワーの値が供給された際、プラズマ内に存在すべき夫々異なった気体種が各々連続的に導入されるとき、結果として向上を生じ得る。かくして、同じ気体種もしくは気体種の混合物の連続的な流れを維持する間、RFソースパワーとRFバイアスパワーとをパルス変調するのが好ましいプロセスがある。他のプロセスでは、RFバイアスパワーを一定に維持する間、ガスの流れをチャンバとRFソースパワー中にパルス化させるのが好ましい。
【0023】
本発明の好ましい実際の実施形態において、チャンバ中に導入される処理ガスは、RFソースパワーの一時的な変調と協働作用する順番で、2つの種の間で変化する。この目的は、2つのプロセスを実行するか、これに替えて、夫々異なった気体種を用いることである。最大とまではいわないが、本発明が意図されているこのタイプのプロセスの多くにとって、また、他の欠かせないケースにおいても、プラズマ処理の実施を向上させるために、処理される基板を支持するチャックにかけられるRFバイアスパワーを変調することは効果的である。このような変調は、RFソースパワーの一時的な変調と協働作用する。また、RFソースパワーのパルスの変調とRFバイアスパワーとの間、もしくはこれら変調の一方もしくは両方と処理ガスの導入が変化するパターンとの間の位相の差を導入することが望ましい。かくして、変調波形形状および処理ガス導入パターンは、互いに一致する必要がないが、一致した関係は、多くの処理において好ましい。
【0024】
プラズマリアク中の2つの気体種を“素早く”交換し、また、プラズマを維持する誘電的に結合された無線周波数(ICRF)パワーおよび多分チャックへのRFバイアスパワーを同時に変調することによって、2つのプロセスは、相対的に高いレートを交替するように形成され得る。かくして、この方法は、連続したサイクルで実行され得る。各プロセスは、連続的な各サイクルの夫々半分のサイクルの間に実行される。 本発明は、1つの典型的な方法の文脈で説明されるが、本発明はこの方法に限定されるものではないことが理解されるだろう。典型的な方法は、二酸化珪素、SiO、をエッチングするために利用される。
【0025】
これに代わる気体種には、例えばアルゴン(Ar)、四フッ化炭素、CF、があるが、CFCl、CHFのような他の組成物も使用され得る。ArとCFは、エッチングプロセスで夫々に異なった役割を果たす。更に、RFパワーは、標準的には2kWより下の低い値と、標準的には5kWである高い値との間でパルス化され、CFとArの導入に夫々一致する。最後に、チャックに供給されるRFパワーの振幅は、DC自己バイアス圧力とイオンエネルギーとに影響するように変調される。異なった複数のガス間の切り替えと様々の変調とが幅広いパターンもしくは波形形状で実行され、振幅の形状と、ガスおよびRF成分の位相変調さえも取り得ることが予見される。
【0026】
ガス成分の位相変調は、各気体種とこれら夫々のRFパワーの対応物との間の所定の位相シフトの確立を伴う。ここでのシナリオでは、RFパワーパルスおよび/もしくは気体種パルスは長方形であるが、必ずしもそうである必要はない。波形形状は、周期的な傾斜(ランプ)(ramp)もしくは、夫々異なった周波数ω+Δωおよびω―Δω(2Δωによって分けられる。ここではΔω<<ω)での位相における一致した2つのサインカーブ状信号の線形重畳でのサインカーブ状の機能であり得る。この場合、周波数Δω(即ち波形パケット)で打つ(もしくは振幅変調)周波数ωのサインカーブ状信号を得ることができる。
【0027】
本方法の夫々の第1半サイクルの間、不活性気体であるフレオン14としてもまた命名されたCFは、プラズマリアク中に導入される。しかしながら、この形状はプラズマ中では短命である。ここで、不活性ガスCF分子は、CF3、CF、CF、F等のような様々の基中に解離され得る。CFと異なり、イオンボンバードが加えられて揮発反応生産物、即ちSiF、CO、CO等を生じるとき、これらの基は、酸化物(SiO)に多少反応する。これら揮発反応生産物全てが、周囲のチャンバ圧力を超える蒸気圧を有する。しかしながら、フォトレジスト(PR)とSiOのエッチング生産物との間の相互作用は、リアクの構成部品を形成している排出ラインの壁に形成された複合Si有機体の発生を導く。かくして、この影響を減じるように、排出ラインは加熱されなくてはならない。
【0028】
CFがプラズマ中に導入されると、反応性エッチング化学処理は始められ、それによって、プラズマが反応性基種を活性化し、また、比較的少ない程度まで、この“低い”RFパワーの半サイクル中に発生されたイオンは、基板コーティングプロセスおよび反応性エッチング化学プロセスをアシストする。
【0029】
図1Aは、CF処理ガスのフルオロカーボンプラズマ中への導入を含む第1の半サイクルを概略的に示す。図示されたように、フルオロカーボンプラズマを使用する目的は、CFを解離してCFの製造を最大化することにある。こうすることにより、Siへの選択性を向上させると考えられている。これによって、基板全体とこれの関連するPRマスクとの、露出されたSiO面およびPRマスク上に吸着されるCFの均一な層のコーティングが導かれる。基板は、チャック(図示せず)に設けられる。このチャックに対して、DC自己バイアスの潜在能力が、チャックおよびプラズマに適用されたRF信号によって引き出される。このRFバイアスの変調は、これに対応するDC自己バイアスの変調を生じさせる。この結果、基板の最適なコーティングに貢献する。
【0030】
CFコーティングは、引き続いて高指向性かつ活動的なイオンボンバードに露出される際に、次の2つの目的を果たす。それは、(i)PRマスクを保護して、PRへのエッチングの選択性を与えるという目的と、(ii)SiOをエッチングするという目的である。後者は、フッ素の欠乏により、SiへのSiOのエッチングの選択性を与える。また、溝もしくは、エッチングプロセスによって形成された微小溝の側壁は、イオンボンバードの指向性によって、最小限にエッチングされるか、もしくはエッチングされない。 各第1半サイクルの終わりに、CFおよびこれの解離生産物は、リアク(reactor)チャンバから排出される。
【0031】
各第2半サイクルにおいて、図1Bに示されるように、Arは、夫々異なったプロセスの実行ために処理チャンバ中に導入される。ソースに供給されるRFパワーは、高イオン化Arガスプラズマとソース内の高イオン電流とを発生させるように増加される。チャックに適用されるRFバイアスパワーの対応する増加は、高活性Arガスイオンの基板表面への供給を可能にする。即ち、これらイオンが表面に力強く激突するようにさせ、表面のSiOとの反応のためのエネルギーを与える。更に、イオンボンバードは、次の反応性サイクルを確実にするために、表面格子構造体に損傷を与え、イオンボンバードは、汚染物質のエッチングされた表面を洗浄し、高異方性のエッチングの実施を果たすことができる。
【0032】
ALE(ガスサイクルにつき1つの原子層を形成する)の概念と同様に、1またはそれ以上の単層は、サイクルごとに取り除かれ得る。したがって、半サイクルは、高レートで交替する。これは、十分なエッチングレートを果たすためである。例えば、統計的な平均において、単一の単層が酸化物エッチング方法の各サイクル中に取り除かれると仮定する場合、単層は、エッチングレートマイクロメータ/分(μm/min)が、各プロセス半サイクルの逆の期間τ(タウ)に対して線形に比例している。SiO結晶格子の単層は、3〜10オングストローム(Å)の厚さを有する。これは、τが10ミリ秒の適度のパルス期間を有して、1から3μm/分のエッチングレートが果たされ得ることを提案している。ここでは、統計的な平均で1単層がプロセスサイクルにつきエッチングされることを想定している。τが1ミリ秒(msec)パルス期間に減じることができる場合、10から20μm/分のエッチングレートを達成することが可能になる。
【0033】
要するに、本発明は、幾つかの処理部品の一時的な変調に基づいた基板処理のための方法を提供している。さらに、気体種と、処理形式と、RFソースパワーおよびRFバイアスパワーの一時的な変調によって、化学反応物質、反応物質のウエハーでの濃縮、イオン密度、イオンエネルギー、およびイオンエネルギーの特別な分布とを含む1もしくは複数のパラメータは、エッチングの性能、特にエッチングの選択性を制御するために変調され得る。
【0034】
気体種の急速な交換は、いくつかの目的を果たし得る。上述された好ましい実施形態において、2つのガスの夫々、ArとCFは、エッチングプロセスにおいて夫々異なった役割を果たす。Arはイオンボンバードのために使用される。CFは、反応性化学プロセスの実行のために使用される。いうまでもなく、リアクにアクセスするに際して配置された制約(constraints)外に連続的に導入され得る夫々異なったガスの種類(即ち、個々のガス、予め混合されたガス等)に制限はない。同様に、他の処理パラメータの選択に依存して、各気体種は、夫々異なったプロセスでの役割を果たし、基板上に夫々異なった蒸着層を形成するために夫々異なったガスが導入される。
【0035】
上述のエッチングプロセスにおけるガスの交換もしくは変調は、RFソースパワーの変調と直接的に関係している。例えば、CFガスを導入する間、ソースは、“低い”パワーで駆動される。これは、解離機構として働くプラズマを有するのが望ましいからである。しかしながら、“高い”パワーは、基板のイオンボンバードのために高イオン化プラズマを発生させるために最も望ましい。“低い”、“高い”という用語は、言うまでもなく相対的なものであり、これら自身が定量値を識別するものではない。しかしながら、これらは、特別なプロセスにおいて各用語によって包含される定量値の範囲を容易に理解できるような当業者にとっては意味がある。前述されたように、プラズマソースに供給されるパルスRF波形形状を形成するのに制限はない。本発明の概念は、複雑な振幅の変調とガス交換波形形状に対する位相の変調とを考慮している。
【0036】
ガスの交換とRFソースパワー変調とを組み合わせることは、チャックに供給されるRFバイアスパワーの変調である。同様に、本発明の概念は、複雑な振幅の変調と他の処理パラメータに対してチャックに供給されるRFバイアスパワーの位相変調とを包含している。更に、基板処理中に、チャックに供給されるRF信号の調和含量の制御が行われる。上述されたパラメータの各細部は、以下で説明される。
【0037】
以下の説明は、本発明を実施するためのリアクの構成に伴う考察に向けられている。これらのリアクは、開示されたパルスモードエッチング(PME)リアクである。特に、ガスが交換され得るレートのような、少なくとも現在の技術の状況におけるこのようなリアクの基本的な制限が話題にされている。このレートで、プラズマ密度と自己バイアスによるイオンエネルギーとは、最大のRFパワーをプラズマソース(plasma source)もしくはチャック等へ移動させることによって変調され得る。
【0038】
前述したように、“急速に”という用語は、気体種の交換レートを識別するために使用されており、ほぼ1ミリ秒程度の半サイクルのパルス幅が説明されている。これは、高いエッチングレートへ変調を果たすための重要性を所望の順番で示す。本発明に係わるパルスモード処理に関連した全てのパラメータのうち、リアクチャンバ中で夫々異なった気体種が交換されるレートは、達成可能なエッチングレートに位置される基本的な制限である。
【0039】
エッチングレートを最大化する1つのアプローチは、リアクチャンバの体積を最小化し、リアクチャンバのガス流コンダクタンスを最大化することである。この課題は、以下において詳しく後述される。しかしながら、基板処理を感知可能に、即ちプラズマ化学およびエッチング化学等の必要性を満たすために、これらのパラメータには最小限の制限がある。更に、ガスがチャンバ外に排出(pump)されるレートに対する最大限の制限がある。例えば、現在達成可能な最も高い排出能力は、所定のターボ分子ポンプによって発生されるほぼ5000リッター/秒程度である。これに替わるアプローチは、3300リッター/秒が排出できるポンプのような、幾つかの低能力ポンプを有するものである。しかしながら、これらのポンプの少数のみが、リアクチャンバで有用な排出を与えるように配置され得る。
【0040】
以下は、ガス排出の流体機械的な状態を説明しており、やや従来的なチャンバのための達成可能なガス交換レートを識別している。チャンバは、プラズマソースおよびこれに存在する基本的な構成部品、即ちチャック、注入板、ポンプ等の大体の大きさに対してのみ従来的である。 第1に、低圧で直面する中立の流れ状態を考慮しなくてはならない。ここでは、低圧が、1から1000ミリトール(mTorr)の範囲のチャンバの圧力であると想定され得る。しかしながら、PMEリアクの動作は、この範囲の圧力に限定されない。これら低いチャンバ圧力で、ガスの動作(behavior)は、自由分子流(free molecular flow)の特性を想定するようになり、もはや、運動量搬送用のNavier−Stokesの方程式に従う連続流体(continuum fluid)として全く機能しない。ガスが自由分子流または連続流体のようにふるまう範囲を決定するために、単に流れ領域における傾斜長さ尺度(gradient length scale)に対する平均自由工程(mean free path)の比率であるKnudsen数、Kn、を評価しなければならない。傾斜長さ尺度は、特性自由剪断層厚さすなわち特性境界層厚さである。しかしながら、これは一般的に、通常各形状の流れ領域から取った特性長さ尺度と置き換えられる。それ故、Knの定義は、Kn=λ/Lになる。ここで、λは平均自由工程であって、Lは流れ領域の特性長さである。
【0041】
自由分子流の開始の解明は、平均自由工程と比較してどちらの長さ尺度を選択するかに依存する。一般に、1(unity)よりも大きいKnudson数の値は、自由分子流の開始の基準として使用される。例えば、チャンバ圧力が10ミリトールで平均自由工程はほぼ0.5cmである。チャンバの特性寸法と比較した場合、Knudson数は1よりも非常に小さい。しかしながら、オリフィス直径と比較した場合、Knudson数は1より大きい。このように、自由分子流のための状態は、解明の“尺度”に依存する。
【0042】
第1の次元への平均自由工程が圧力の逆数として変わるので、Knは、圧力および当然ながら特性長さ尺度と逆に変化することとなる。第1に、全体的(global)Knは、“全体的”すなわち大きな寸法のガス力学を規定するリアクの有効直径に基づいて導かれる。Lが20cm用に、上述した1から1000ミリトールのチャンバ圧力を超えて、Knは0.00025と0.25との間で変化する。しかしながら、比較的小さい尺度、すなわちガス注入オリフィスの下流(オリフィス直径と比較可能な尺度において)または基板の近傍でガス力学を考慮した場合、Knを再評価し適切な長さ尺度(流れの巨視的特性が越えて変化する傾斜尺度)をもって規定しなければならない。例えば、圧力が10ミリトールで、全体的Knはほぼ0.025であり、オリフィス出口面でのKnは、500sccmの流量(mass flow)用にほぼO.1のオーダーであり、そして、オリフィスのわずかに下流(〜1cm)でのKnの局部的定義は5のオーダーである。一般に、1よりも大きいKnの値は、自由分子流形態(regime)を示し、また、0.01より小さいKnの値は連続流形態を示す。多くのプラズマアシスト処理は、遷移形態0.01<Kn<1を生ずる(少なくとも全体的尺度において)。中立流力学は、ほとんどの低圧処理用に非常に複雑にできる。
【0043】
分子ガス力学および連続流体力学の各Boltzmann方程式解法(DSMC、直接シミュレーションモンテカルロ(Direct Simulation Monte Carlo)を使用して)およびNavier−Stokes解法の詳細に入ることなく、貴重な洞察は、限定ケースの簡単な第1オーダー分析から得ることができ、Kn→(無限大)およびKn→0(または実際上、Kn>>1およびKn<<1)である。Kn<0.01の場合、ガスは連続流としてふるまい、また、その運動は、Navier−Stokes解法によって管理される。しかしながら、低圧領域へのNavier−Stokes解法の応用可能性は、Knが0.1までほぼ受入可能である。このことは、Bird,G.A.,分子ガス力学およびガス流の直接シミュレーション、1994年Oxford、Clarendon Pressを参照してほしい。Knが0.01以下にさらに減少するにつれて、流れは粘性層の外側で等エントロピー(isentropic)になり、Euler方程式(粘性応力を除外するNavier−Stokes方程式の誘導形式)で処理される。
【0044】
流れ領域が不変と推定できる場合、すなわち、チャンバ圧力が一定の値の傾向の期間にわたって(または、換言すれば、連続ガスパルスが、チャンバ圧力が変化しないで留まるように)、システム中への流量(mass flow)は、システムから出る流れレートとバランスしなければならない。すなわち、制御体積を、リアク体積を収容するために規定する場合、チャンバに侵入する流量ρ は、チャンバを出る流量ρSとバランスしなければならない。ここで、ρはチャンバ入口のガス密度であり、uはチャンバ入口のガス速度であり、A は全オリフィス領域(A =NA;N=オリフィスの数、A=オリフィス領域)であり、ρはチャンバガス密度であり、Sは排出平面でのチャンバポンプ速度である。
【0045】
処理チャンバの出口平面でのポンプ速度Sは、ほぼS=(CSinlet)/(C+Sinlet)と推定される。ここで、Cは、ポンプ入口とチャンバ出口平面との間の流れコンダクタンス(チャンバの形状とこれらの2つの点の間の圧力に依存する)であり、Sinletは、ポンプ入口でのポンピング(pumping)速度、すなわちポンプ入口での流れ容積レートであってこれは入口圧力に依存する。C、SおよびSinletは、全て単位時間当たりのガス体積の単位である。例えば、図14Bにおいてチャンバ出口平面は、注入板18’の平面であり、ポンプ入口平面は、線21で現わされている。代表的な効率曲線(すなわちE=S/Sinlet)は図3に示されている。連続限界において、平均圧力領域は、チャンバを通して連続的パルスを“プッシュ(push)”する。
【0046】
図4は、チャンバに入る量(時間τのパルス長さすなわち1つの半サイクル)の、与えられたチャンバ圧力内で出る量に対する比率を示している。比率が1またはそれ以下の値になった場合の状態は、連続限界におけるガス交換レートを規定する。図4には曲線の2つの集団があり、実線で示された第1は、32cmの基部直径、62cmの頂部直径、および17cmのチャンバ高さ、H、の寸法を有する大きな半円錐チャンバにおけるガス交換用の比率値を示している。点線で示された第2は、曲線の第1のセットに関連する寸法よりも2つ小さい因数の寸法を有する半円錐チャンバにおけるガス交換用の比率値を示している。入口流量に依存して、図4は、30%ほども変わる最小パルス幅を示している。この流量変化は、高圧での改良された単にポンピング効率に帰される。6インチ基板用の小さいチャンバにおいて、最小パルス時間は、1.5ミリ秒程度に短くできる。チャンバにおけるガス交換レートを改良するためのガス注入の調整は、以下において議論する。
【0047】
限界Knudson数>>1において、分子は、壁の衝突がそれらの移動方向を指示する出口平面へ、チャンバを通って移動することが期待されている。すなわち、それらの平均自由工程はチャンバ構造と比較して大きい。ガス分子は、衝突なしでチャンバを通って基板に移動する場合、弾性的に基板表面と衝突し、それがチャンバと離れた出口平面に跳ね返る最短の移動時間を有することが期待される。この状態は図2Bに示されている。この前提とともに、ガス分子の最小滞留時間は、ほぼ2H/aであることが想定可能である。ここで、Hはチャンバの高さであり、aは室温での音速である。これは、上記で規定された小さい方のチャンバ用の0.6ミリ秒の推定最小滞留時間τを与える。滞留時間を決定するために、DSMC手引きを使用して、滞留時間用の見込み分布関数(probability distribution function)(PDF)を決定するためのいくつかの粒子の経路を追従することが必要である。“いくつか”の粒子は、出来事(event)の統計的平均を得るのに十分な数を意味している。
【0048】
しかしながら、上記で紹介された議論は、プラズマの複雑な態様をまだ含んでいるのではない。プラズマの存在において、中立流(neutral flow)に影響するいくつかの第1のオーダー(order)が観察できる。プラズマの1つの重要な態様は、チャンバ内でイオン搬送が直接的または間接的に中立搬送に影響するイオン中立流ポンピングであろう。第1に、例えば、RFパワー適用によるイオン化可能なガスの励起によって発生されたイオンは、プラズマから境界面に連続的に変化するプラズマ電位傾斜(plasma potential gradient)に直接比例する静電気の適用下で、壁に流れる(ここにおいて、主要な電位傾斜がシース(sheath)を交差して生ずる)。壁との衝突に際して、イオンは電子と再結合し、中立種を形成する。したがって、中立粒子は効果的にイオン化され、静電気により壁へ搬送され、それ故それらは再結合する。第2に、イオンが壁へ搬送されるにつれて、中立粒子を出すために外方流れ運動量を交換でき、それ故、それらを外方へ再方向付けするか、または本質的に中立粒子をポンピングする。しかしながら、両方のポンピング効果の意味は、チャンバ圧力およびより重要には平均自由工程に直接依存する。この効果は、大きく単純化され、イオン化、解離、再結合、ガス過熱、壁吸着、壁重合、等の効果を導くプラズマの複雑さの中のただ1つの効果を有する。
【0049】
中立流に結合されたプラズマの複雑な態様は、確かに滞留時間に影響し、多分理想的ケースからそれを増加する。しかしながら、上述の交換レートは、少なくとも達成可能な最小可能滞留時間の提供を意味する簡単な物理学に基づいて合理的に評価できる。要約すると、従来のチャンバは、τが10ミリ秒のレートのガス交換の達成が可能である。処理体積の跳躍面でポンピング速度を最大化するために適応された真空構造、および、ガス注入に向けられた高速用に実行する方法を備えて、1ミリ秒(および多分サブミリ秒)動作を達成することが可能である。
ここで先に示唆したように、ガス注入システムが、ほぼ1ミリ秒の長さ、すなわち、その間に各ガス種が導入される期間τがこの持続期間を有するガスパルスを生成した場合、ガス注入システムの繰り返し操作の周波数、f=1/2τ、は500Hzである。ガス注入システムに要求するこのことは、極めて実質的であるが、しかし、プラズマ実験用の超音波パルスガス注入の分野において達成されてきた結果の観点から可能性がある。
【0050】
この可能性を有するパルスガス注入システムの構造は、低圧環境に入る連続的およびパルス化されたガスシステムのガス力学、ガスパルス作動の方法、およびガスバルブ構造の主要部の考慮を必要とする。以下の議論は、本質的にガス注入システム用の構造の好ましい形状に関するが、それに替わる解決法もカバーする。
【0051】
最初に連続流注入システムのガス力学を理解し、次いでパルスガス注入システムの不安定な力学用にこの理解の適用可能性を考慮してほしい。第1に、その中にガスが注入されるべき低圧環境は、限定されるものではないが、ほぼ1<P<1000ミリトールにわたり、ソースの全圧力は0.1<P<100トールにわたる。全圧力は、注入板の後方にあるガス空間内のガスの滞留圧力、すなわち、ガス速度が等エントロピー的に零に減少された場合のガス圧力である。全圧力の用語は、一般に、静圧と動圧の合計を対象とする。これらの圧力範囲を横切って、ガス力学は、局部的圧力のKnudsen数の依存と、先にも述べたように、連続流から自由分子流への結果としての遷移とにより大きく変更でき、これは引き続く比較的大きいKnとなる。
【0052】
先にも述べた圧力の上端部(P>10〜100トール)で、注入オリフィス(直径が0.5mm)を通るガス流は、流れが連続方法で行動し、また、Navier−Stokes方程式を使用してよく現わすことができる非常に多くの数の衝突と遭遇する。さらに,Knudson数は十分に小さく、または、Reynold数は十分に大きく、連続オリフィス流は、等エントロピーとして考慮され、続いてNavier−Stokes方程式すなわちEuler方程式の誘導された形に従う。
【0053】
これらの状態が存在する場合、また、オリフィスを横切る圧力比、すなわちオリフィスに出口を越えた周囲の圧力に対する入力全圧力比が十分に大きい場合、オリフィスは、“チョークされた(choked)”状態に達する。チョークされた状態において、体積流レート(volume flow rate)は、この場合チャンバ圧力であるバック圧力(back pressure)(この分野でよく知られた用語)の更なる減少、または、入力全圧力の増加のいずれかとともに不変である。事実、オリフィスを通る流量は、入力全圧力の増加によってのみ増加でき、それ故ガス濃度に影響をおよぼす。もちろん、これは、スロート(throat)またはオリフィス領域の変更が維持できないことを推量する。
オリフィスがチョークされると、マッハ数(Mach number)(局部的音速に対する局部的速さの比率)はオリフィススロート(最小断面領域)で1の値を呈する。一定領域オリフィス用に、スロートは、摩擦効果のためのオリフィス出口平面を生ずる。流れがスロートで一旦音速になると、領域内で増加を体験した場合に超音速(M>1)に加速される(領域拡大中に減速する音速以下の流れと異なる)。
【0054】
図5は注入オリフィスの概略を示していて、発散ノズルに入る一定領域オリフィスを有している。この概略は、圧力領域定義変更のための配置を示していて、ソースの全圧力P、オリフィススロート圧力P、オリフィス出口圧力P、およびチャンバ圧力Pを有している。一定領域オリフィス(すなわち、発散部分のない)のために、スロート圧力は出口圧力に等しい。
【0055】
超音波オリフィスまたはノズルの校正用に重要な他のパラメータは、スロート縦横比である。縦横比は、スロート(最小断面領域)の直径に対する最小断面領域の部分の長さに関連する。一般的に、1より小さくて好ましくは1より大きくない縦横比を有することが望ましい。図5、8、9、および12は、そのような構成の取り組みを示している。アクチュエータと拡張オリフィスとの間に延びる部分がスロートの直径よりも大きい直径である限りにおいて、ガスパルスアクチュエータが、拡張オリフィス/ノズルから離間して配置されることに注目してほしい。しかしながら、この部分が長くなればなるほど、ガス注入遅延時間が大きくなる。
【0056】
一定領域注入オリフィスに沿ったマッハ数と静圧変化は、各図6Aと6Bに示されている。明らかに、ガスは、注入長さの最後の20%を越えて音速状態(M=1)に加速され、この拡張中の静圧の対応する低下を伴う。一定領域オリフィスと関連する条件下で、ガスは、真空チャンバ内のオリフィスの下流でのいくつか(5〜10)のオリフィス直径内の自由分子流への連続流から急激な遷移を受ける。
【0057】
一般に、チャンバ圧力に対するソース全圧力の比は、1から2の大きさによって臨界圧力比を超える。したがって、スロート圧力または一定領域オリフィス用出口圧力は、周囲のチャンバ圧力よりも非常に大きい。これは一般的に、拡張下での状態として参照される。出口圧力が周囲のチャンバ圧力と等しい圧力一致状態を達成するために、適切に構成された領域拡大または発散ノズルが使用される。この状態は、低圧環境に入る一定に向けられたガスジェットの特性を表わす。しかしながら、発散ノズルが使用された場合、ガス膨張によるKnの上昇が再び遭遇される。それ故これは、遷移流効果を注目するために考慮可能である。
【0058】
上述した圧力範囲(0.5<P<10トール)による中間で、粘度は、オリフィスを通るガス流の成長役割をし、最終的には、等エントロピーとして処理される領域は流れ領域内にはない。比較的低い圧力で、オリフィス内で起きる衝突は、ガス流が、自由分子流の動作(behavior)を表わす範囲までより頻繁ではなくなる。そして、連続方向(continuum sense)における包括的特性(macroscopic property)は、流れの行動をもはや適切に述べることができない。
【0059】
要約すると、ガス注入の条件は、連続動作の前提、および理想的な等エントロピーで連続動作がなされる比較的高圧で最も理解される。特に、比較的高い流量レートが達成された場合、比較的高い全圧力すなわち500から1000sccmおよびそれ以上の流量レートが得られる。これらの条件下での操作の本来の利点は、基板の衝突に際し合体(coalesce)するために組織された、高度に管理的なガスジェットの生成である。さらに、出口平面で自由分子流に遷移するために構成できる。この特性のガス注入構造は、比較的大きい搬送レートを可能にし、チャンバガス交換レートを減少できる。
【0060】
上記の議論は、高圧ガス注入のいくつかの利点を明らかにした。事実、低圧処理と調和して使用された高圧ガス注入は、一般に、高い流量レートまたは処理量(throughput)を必要とする。したがって、処理リアクの出口平面またはウエハー平面でのポンピング速度を最適化し最終的に増加することが望ましい。これは、ポンプ入口と処理容積との間に大きなターボ分子ポンプおよび良好な真空構造を有することによってなされる。さらなる改良は、改良されたポンピング方法が開発されるにつれて可能になるであろう。
【0061】
今までは、連続的オリフィス流すなわち安定的流れのみが考慮されてきた。しかしながら、パルスガス注入の概念がここで導入されてきた。比較的高い圧力で、オリフィス流が等エントロピーである連続流として行動する場合、最小パルス時間は、ガスが流れない状態から音速状態へ加速するために必要な時間の合計と、膨張を受ける分子の十分な数の領域を確立するために必要な時間と、ガスパルス密度がパルスの開始と終了とで速度分散によって影響されないような十分に長い時間とを超える(J.Chem.Phys.Vol.75、No.5(1981)のSaenger,K.L.による“パルス分子ビーム:十分に展開された超音波膨張用のパルス持続時間の下限”参照)。例えば、Pが200トールで、最小パルス時間は10μ秒のオーダーである。これは1から10ミリ秒よりも十分小さい。
【0062】
この注入システム用のガスパルスを作動するために、所望のパルス幅とパルス周波数が想起される現在利用可能ないくつかの方法がある。いくつかの例は次のものの使用である。すなわち、キャパシタが急速に放電される電磁バルブの使用であり、Gentry&GieseによるRev.Sci.Instrum.、Vol.49、No.5、p1888(1978)の、1μ秒パルス分子ビームソースおよび急速イオン化検知器、に開示されていて;類似する目的のために修正されてきた自動車燃料注入バルブの使用であり、KendallによるJ.Vac.Sci.Technol.A、Vol.5、No.1(1987)の、残留ガス分析装置のオンライン較正用パルスガス注入、Rev.Sci.Instrum.、Vol.51、No.8(1980)の、超音波ノズル実験に使用するためオン単純なパルスバルブ、およびBehlen等のChem.Phys.Lett.No.60、p364(1979)に開示されていて;ならびに、圧電電子バルブの使用であり、Cross&ValentiniによるRev.Sci.Instrum.、Vol.53、No.1、p38(1982)の、高反復レートパルスノズルビームソース、BatesおよびBurellによるRev.Sci.Instrum.、Vol.55、No.6(1984)の、プラズマ物理実験用急速ガス注入システム、に開示されている。
【0063】
電磁バルブは、1〜10Hzと同じくらいの高さのパルス反復レート(PRR)を有する10から100μ秒と同じくらい短いパルス持続期間を達成できる。しかしながら、これらの値は、一般にかなりのノイズを生成し、高電流レベルを切り換える複雑な高電圧電力供給装置を必要とし、寿命が短い。
【0064】
商業的に利用可能な自動車用燃料注入バルブは、50HzまでのPRRを有して、使用が簡単であるけれども遅い。さらに、改変された自動車用燃料注入バルブ(パルス変調ビーム用に使用される)は、数百kHzを越えるPRRを達成できる。ここにおいて先に述べた電磁バルブは、しかしながら、貧弱なデューティーサイクルを有するパルス用の比較的短い持続時間を達成できる。本発明による処理においてほぼ50%のデューティーサイクルが望まれるので、パルス幅または持続時間は、求められているものである。したがって、商業的に利用可能な自動車用燃料注入バルブの技術に基づいた注入バルブは、微粒子の発生を最小にでき、50%デューティーサイクルを有する高PRRを達成し、そして低電圧電力供給装置を必要とするので好ましい。
代表的には、最新式の圧電バルブは、200Hz以上の反復レートと3ミリ秒より小さいパルス幅を有するパルス方法で操作できる。ガスパルスの上昇時間と下降時間は、各0.1秒と0.25秒とを得ることができる。上述のBatesとBurrellは、超音波ジェットを生成するためにガスチューブを有する標準的VeecoPV-10の圧電バルブを採用している。事実、上述のCrossとValentiniとによって報告されたバルブは、パルス幅が100μ秒程度に短い750HzのPRRで特定される。
【0065】
図8は、圧電バルブ、ガス入力ライン、注入ノズル、およびパルス監視用のマイクロホンまたは圧力トランスデューサを有する注入システムの例を示している。処理チャンバは、複数のそのようなシステムと組み込まれていて、各システムは、図示したような高圧ガス供給ラインによって供給される。各ガス供給とその関連する単一の注入システムまたは複数のシステムとの間に、ソース圧力または流量を調整するための高応答圧力調整装置、および流量センサ(図示せず)が設けられている。これらの構成要素は、処理チャンバの外側である。
【0066】
各注入システムにおいて、ガスラインは、ガスシールドによって取り囲まれた小さいバルブプレナム(plenum)を供給する。プレナムは、バルブ操作に際し小さい注入ポート(port)に間歇的に曝される。マイクロホンと、圧力調整装置と、流量センサとは、次いでこのフィードバックによりパルスレートと流量を制御するコンピュータによって全て監視される。バルブ機構は、燃料注入バルブ、または上記で参照したタイプのバルブの他のもののような電磁バルブである。ガスパルスが低圧チャンバ内に拡大する前にその中を移動するガスラインは、滞留時間を最小にするために長さが短くなければならず、また、薄層状の流れを確実にするために小さい直径を有していなければならない。このラインのガスパルス用の代表的な滞留時間は、2cmライン用に0.08ミリ秒であり、これは、ラインの長さに沿ったガス速度の逆数(inverse)を積分することによって決定される。より一般的には、ラインは、0.5から2cmの長さを有していて、対応する直径は0.5cmのオーダーであり、また、0.2から1cmの縦横比を有するオリフィスの直径は、0.5から1mmである。
【0067】
プラズマ処理チャンバは、代表的には、交互に導入されるべき2つまたはそれ以上のガスの適切な分布を可能にするために設けられなければならないようなバルブ装置と関連する注入板を供えている。2つの独立したバルブを使用する2つのガスの交互用に、各バルブは、互いに位相を異にして180度で開成され閉成される。
さらに、2つのガスバルブは、単一のガス注入ポートに結合でき、これは、図9に示されたように、各バルブとバルブプレナムが各ガスAおよびB用に設けられている。図9はさらに、2つのガスAとBの流れを制御するためにバルブに供給される閉成および開成制御信号の波形を示している。
【0068】
ガス注入用の第2の例は、注入板の周囲から接近することである。この場合において、ガスパルスバルブは、板の周りの種々の周囲部分に設けられ、ガスは、機械加工されたチャンネルを介して板の内部に分布される。このアプローチは、注入板の頂部にアクセスするための何らかの障害物がある場合にのみ応用可能である。この配置を有する問題点は、ガスパルス開始から注入出口までの時間が長くなることであって、それ故、注入ラインの入口から出口までのガスの滞留時間は、パルス時間と同様のオーダーかまたは大きくなる。低圧チャンバに侵入するパルスの時間にわたってある制御をするために、2つのガスのパルスは、ガスパルスの“列(train)”を生成するために同一の注入ラインに交互に間に合うように導入される。最悪の場合、2つの異なったガスAとBのパルスは、パルス開始とチャンバ入口との間である程度のタイムラグを有して連続する。1つの利点は、そのようなガス列が連続的ガス流を模写(mimic)することであって、これは、特にガス特性すなわちガス定数、特定過熱比率などが類似している場合である。
【0069】
しかしこのアプローチをもっても、注入ラインの長さは、各ガスパルスのコヒーレンス(coherence)に厳格である。換言すれば、移動距離が長くなればなるほど、隣接するパルスのガス種間の拡散は大きくなる。2つのガスが最初互いに隣接しているので、2つのガスパルスが注入ライン内へ連続して導入された場合、2つのパルスに含まれたガスは、徐徐に互いの中へ拡散する。2つのパルスからのガスが、互いの中へ拡散するガス流の方向の距離は、ガスパルス拡散長さとして知られている。拡散に加えて、各ガスパルスの空間的長さは、注入ラインからの発生(emergence)まで注入ライン内で遭遇された加速流によって次第に増加する。先にすでに規定されたように、これらの注入流は激しくなく、したがって、搬送は完全に分子拡散に依存する。しかしながら、分子拡散性の係数は、特にチャンバの入口近傍において顕著にできるが、これは圧力に反比例する。この圧力は、図6Bに示されたように、ガスが膨張するにつれて低下する。
【0070】
注入ラインに沿った距離、x、に関するガスパルス拡散長さ、δ、の成長の例は図10に示されている。直ぐに、δは境界層の発生(developing)と類似して増加する。しかしながら、それは、分子拡散性の係数が顕著に増加した場合に、端部に向かって画期的に変化する。比較的大きい流量または比較的短い滞留時間のために、相対的拡散長さδ/l(ここで、lは各ガスパルスの存在する空間長さ)は、図11に見られるように、5%のような受入可能な限界以下に維持できる。
【0071】
要約すると、ガス“列”アプローチを使用することが、ほぼ数ミリ秒のパルス幅を有するコヒーレントなガスパルスを生成するために、ALE文献においてなされた作業と調和して、適切な条件下で実行可能である。
第3の変形例は、第2の変形例と同様に、第2の処理ガス、例えば、CFの搬送ガスとして、アルゴン(Ar)ガス流を使用する。すなわち、Arガス流は、Arガス流内へのCFガスパルスの間歇的注入を有してチャンバへ連続的に流れる。この場合において、Arガスは、チャンバ内に常に存在し、CFは、離れた時間間隔中にチャンバ内でArガスと混合される。
【0072】
ガス注入用の第4の変形例は図12に示されている。作動/通気タイプの注入システムとして注目でき、ガスAとガスBとは各入力ライン内に連続的に流入し、摺動バルブは2つのガス流を適切に再方向付けするために2つの端部間で振動する。図12に示されたように、摺動バルブが一番左端にある場合、ガスAは排出され、ガスBはチャンバ内に注入される。逆に、摺動バルブが一番右端にある場合、ガスAはチャンバ内に注入され、ガスBは排出される。
【0073】
図13は、本発明の1つの実施例に基づいて、ガスパルスの処理チャンバへの供給を制御するためのシステムを示す概略線図である。2つのガス、AとBとは高圧下においてガスリザーバの各部分に貯蔵され、ガスは各リザーバ部から複数の圧力調節装置へ供給される。各調節装置に供給されたガスは、典型的には流量センサを含む各流量制御装置を通って、各ガス注入システムの関連する入口に導かれる。この実施例において、各ガス注入システムは、図9に示されたような形状を有していて、図8に示されたような圧力トランスデューサによって補足されている。コンピュータ制御システムは、全部の流量センサからの流量信号と、全部のガス注入システムからの圧力信号を受けるために接続されている。制御システムはさらに、各圧力調節装置の圧力設定を制御するためと、各ガス注入システムのバルブの開成と閉成とを制御するために接続されている。制御システムは、調節装置の出口での所望のガス圧力を維持するために、また、ガス注入システムを適切な周波数と位相関係で操作するために、この分野ですでに知られた原則に従って構成されプログラムされている。制御システムが、圧力トランスデューサから圧力情報を受けるので、ガスの流量レートとガスパルスレートのフィードバック制御は達成される。
【0074】
図9に示されたように、ガス流は、矩形波(square wave)関数に従って変調される。しかしながら、先にも述べたように、ガス流は、周期的なランプ関数(ramp function)または正弦関数(sinusoidal function)を表わす波形に従って変調される。制御されたランプ関数は、ランプ関数に接近する増加ステップ(incremental step)のシリーズにおいて流れレートを変えることによって生成される。これは、流量制御装置(図13に示されている)をランプ関数の特質と関連された方法でステップするか、または、超音波注入用の調整可能なスロート領域であるガス注入オリフィスの開成と閉成をステップするかのいずれかによって実行できる。前者の場合において、各ステップの時間的持続期間は、100ミリ秒を超える。後者の場合、各ステップは、100ミリ秒よりも小さい持続期間を有する。ランプ関数を発生する付加的な手段は、緩慢(slow)応答EMまたは圧電バルブを使用することであり、与えられたパルス用の上昇時間は1から100ミリ秒のオーダーである。このアプローチは、制御しにくいという欠点を有しているが、装着するのがかなり簡単にできる。同様のアプローチは、正弦応答(すなわち、Sloppyの“矩形”波)用に行なわれる。
【0075】
ガスパルス作動のいくつかのアプローチが提案されているが、図5、8および9に示されたようなガス注入システムの使用を伴う第1の解決方法と、図12に示されたような多分第4の解決方法は、現在において好ましい。それは、パルス波形、パルス幅、パルス周波数および流量の必要で活発な制御を提供するために、これらがより容易に構成できることが信じられているからである。
【0076】
本発明によるガス注入システムは、従来のプラズマ処理システムに採用されたタイプのガス注入板に設けることができる。そのような板は、RFプラズマに直面する板を冷却するための冷却チャンネルを含んでいる。さらに、いずれの図面にも示されていないけれども、注入システムノズルは、注入板に形成されてもよく、公知の方法で機能的に冷却されてもよい。しかしながら、ノズルの機能的冷却は、各ノズルでのガス膨張によって生成される冷却の観点から必要ではないかもしれない。
【0077】
本発明によるエッチング方法を実行するためのリアクは、パルスモードエッチング(PME)リアクとして構成される。そのようなリアクの実施例を、反応チャンバコンダクタンスを改良するこれらの特徴を強調してこれから述べる。それらの内の2つの実施例が図14Aと14Bとに示されている。これらの2つの実施例は、ガスの注入と排出の取り扱いにおいてのみ、他のものとは異なる。これらの実施例は、Johnsonによって米国を指定して、「インピーダンス減少チャンバ」の表題で1999年4月12日に出願された出願中の普通に所有されている国際出願PCT/US99/0762に開示されている態様を組み込み、その開示をここで参照して取り込む。
【0078】
各実施例は、基板を支持する垂直に移動可能なチャック6を収容するロードロック(load lock)4を装着された円錐状の下端部を有する逆半円錐状の処理チャンバを収容するハウジング2を備えている。基板は、代表的には、ウエハー8の形状であり、その上面はエッチングされるようになっている。チャック6は、ウエハー8の交換を可能にするために、また、プラズマ領域の底部をシールするために垂直移動(translation)用に設けられている。ハウジング2は円錐状の形状を有し、変調されたRF電流を受けるために接続されている複数回巻回された螺旋コイル14によって取り囲まれている。RF電流は、ハウジング2によって囲まれた円錐状の処理領域にRF領域を発生する。ハウジング2は、この分野で知られた原則に従って、処理領域を電磁気的にシールドするために構成されている。ハウジング2はさらに、処理領域の頂部の処理ガス注入アセンブリ(assembly)18、18’と、処理ガス注入アセンブリ18の上方に配置された排出ポンプアセンブリ20とを有している。ポンプアセンブリ20は、詳細には示されないが、適切なターボ分子ポンプによって公知の方法で構成される。各図は、第1の半サイクルのスタートの瞬間を示していて、CF4ガスは、処理領域内にポンピングされ、Arガスは外へポンピングされる。
【0079】
図14Aに示された実施例において、処理ガス注入アセンブリ18は中央に配置された注入板を備えている。使用されたガスおよびエッチングされた生産物は、注入板とハウジング2の壁との間の環状の領域を通して上方へポンプアセンブリ20に排出される。 図14Bに示された実施例において、アセンブリ18’の注入板は、処理領域を完全に横切って延びていて、処理領域の上部境界を一様に横切るのにいずれも貢献する注入ポートと排出ダクトとを有している。 これらの各実施例において、処理されるべき基板は、逆半円錐状の基部に配置されている。注入板は、チャンバの頂部に配置されている。
【0080】
注入板すなわちマニホールド18、18’の例示的実施例は、各15Aと15Bとに示されている。図15Aにおいて、20個の小さい注入オリフィスがあり、これらはそのスロート(throat)でほぼ1mmの直径であり、図5に示されたような発散ノズルの入口端部である。板18に設けることができるオリフィスの数は、20個に限定されない。板18はさらに、ノズルの内部配列を取り巻く4つの大きいポンピング象限が設けられている。図15Bに示された第2の注入/排出板は、注入ガスと排出ガスとの間の相互作用を最小にし排出ダクト領域を最大にする意図をもって、プラズマソースの頂部を横切って注入ポートと排出ダクトとを一様に分布する。これらの実施例は、12インチウエハー処理または構造的に類似する他の変形用に寸法を定めることができる。
【0081】
本発明によるエッチング方法を実行するためのリアクの3つの更なる実施例は、図16A、16Bおよび16Cに示されている。3つの全ての構造において、ガス注入は、基板およびチャックすなわち基板ホルダーに対向して行なわれ、また、真空ポンプはチャックを取り囲む環状の領域を通って処理容積にアクセスする3つの全ての実施例において、コイル14はハウジング2の頂部部分を取り囲む。このように、処理領域またはプラズマソースは、ハウジング2の頂部部分に配置されている。3つの構造間での唯一の相異は、コイル14の形状、従って処理領域である。容積構造は、16Aに示されたような円筒状の構造、図16Bに示されたような円錐台状の構造、および図16Cに示されたような半球状の構造図を有している。
【0082】
これらの実施例の処理チャンバ内において、壁の傾斜はいくつかの機能を達成する。高いKnudson数限界で、チャンバのコンダクタンス(conductance)を改良するために、内面領域に関して可能な限り大きい出口領域を有することが望ましい。さらに、ガスが頂部からチャンバに注入されチャンバから排出された場合、図2Bに示されたように、単一跳ね返り(single bounce)原子/分子動作が生じる大きな可能性がある。これと対照的に、自由分子形態(regime)において操作する従来のリアクは、チャンバを出るために原子/分子用に少なくとも2回の壁衝突を必要とする。低いKnudson数限界で、連続流と関連して、側壁の傾斜は、チャンバの底部と側壁との間に形成されたコーナー内で流れの分離を伴わないで、概略的に図2Aに示されたように流れを促進する。さらに、傾斜した側壁は、表面的に円形の円筒状チャンバ構造の容積を最小にする。
【0083】
ガス注入の調整とチャンバのコンダクタンスの増加に加えて、チャンバポンピング容量の増加がガス交換時間を付加的に改良する。チャンバに隣接した5000リッター/秒のポンプの配置、または、チャンバ上へのいくつかの1500リッター/秒のポンプの配置は、ポンプ科学技術の包絡線を後押しできる。最後に、その開示をここで参照して取り込むが、Dandl等によって米国を指定して、「プラズマ真空ポンピングセル」の表題で1999年6月29日に出願された出願中の普通に所有されている国際出願PCT/US99/12827に開示されているように、増加されたポンピング達成が期待される。
【0084】
要約すると、本発明は、ガスがリアク内で交換できるレートを最大化するために、高いコンダクタンスチャンバにおいて、調整されたガス注入技法を従来のポンピング能力に結合する。本発明によるPMEリアクの1つの実務的実施例は、図17Aにその側部立面図が、図17Bにその平面図が、図17Cにその端部立面図が示されている。図17Aと図17Cから明らかなように、この実施例の構成要素は、リアクを製造設備内のあらゆる所望の位置にもたらすことができるためにローラに設けられた支持フレームによって運ばれる。リアクの個々の構成要素の全ては公知であって、それ自身この分野ですでによく知られた原則に基づいて構成できるか、またはここで開示されているかのいずれかである。
【0085】
示されたPMEリアクは、プラズマソース30と、ロードロックチャンバ32と、ウエハー取り扱いシステム34と、図2Aと2Bに関連して上述したように垂直移動用に設けられ自己バイアスを生成するためにRFパワーが適用される垂直に移動可能なチャック36と、自由走行オシレータ(free running oscillator)(FRO)と、多分インピーダンス整合ネットワーク(match network)を有しプラズマソースコイル用にRF流を生成するソースパワー供給装置38と、ソース30上の中間真空チャンバ40と、ターボ分子ポンプ42と、ソース30とポンプ42上の板44と、線形移動バルブ46と、板44上の排出ガス流領域48とから成る。バルブ46は、バルブ46がポンプ42上で板42の開口をブロックする完全に閉成された位置と、バルブ46が板44の開口から最大の距離である位置にある完全に開成された位置との間の位置の範囲を越えて移動可能である。このようにして、バルブ46は、板44の開口部によって形成された排出ガス流経路と、排出ガス流領域48を通って流れるガスのレートを制御するために操作可能である。リアクはさらに、適切な処理ガスおよび冷媒供給カップリング(図示せず)を有している。
【0086】
RF流は、FROである別個の装置49、または、従来のソリッドステートRF発生器からのパワー移動を最大化するための整合ネットワークである別個の装置49によってチャック36に適用できる。
ソース30は、この分野の標準的慣例に従って、FRO38によって生成された(または、整合ネットワーク38により従来のソリッドステートRF発生器によって同等に生成された、または、個々のRF信号励磁機および整合ネットワーク38によるRFパワー増幅器によって最後に生成された)RF流を受けるための螺旋コイル50と、静電シールド32と、図15Aと15Bとに示された一方の形状を有するガス注入アセンブリ(図示しない)とを備えている。
【0087】
ポンプ42は、5000リッター/秒のターボ分子ポンプが商業的に入手可能であり、プラズマソース30に隣接して設けられ、中間真空チャンバ40と排出ガス流領域48を介してプラズマソース30に接続されている。現在入手可能な5000リッター/秒のターボ分子ポンプが上下逆に向けられないので、この据え付け配置が選択される。
【0088】
ソース30と関連するガス注入アセンブリは、注入オリフィスと排出領域の両方を備えていて、後者は、中間真空チャンバ40を介してポンプ42と連通している。このように、ガス注入アセンブリと排出オリフィスの両方は、プラズマソース30によって取り囲まれた処理領域を中間真空チャンバ40から分離する。
第2の実施例は、いくつかの比較的小さいターボ分子ポンプを中間真空チャンバの上方に採用することができる。
【0089】
第3の実施例は、その開示をここで参照して取り込むが、「プラズマポンプ」の表題で1998年12月30日に出願番号06/114,453号として米国予備特許出願された出願中の代理人整理番号第71469/238073、および、先に引用した国際出願PCT/US99/12827に開示されている。この実施例は、改良されたポンピング効率と空間利用を提供する。
【0090】
図18は、図17Aに類似したもので、本発明によるPMEリアクの更なる実際的実施例を示している。図18に示されたリアクの多くの構成要素は、図17A、17Bおよび17Cに示されたこれらのものと同一である。これらの構成要素は、図17A、17Bおよび17Cで採用された参照符号によって識別され、再度記述はしない。
【0091】
図17に示された実施例のケースにおけるように、図18に示された実施例の構成要素は、リアクを製造設備内のあらゆる所望の位置にもたらすことができるためのローラに設けられた支持フレームによって運ばれる。 プラズマソース30の頂部は、ガスライン入口62が装備されたガス注入/排出板60によって限界が定められている。図17の実施例は、ガス注入/排出板とガスライン入口とともに装着することができるけれども、これらは図17において特に識別されていない。
【0092】
図18に示された実施例は、本質的に、プラズマ30の上方でこれと垂直配置のターボ分子ポンプ64の配置によって図17のものと異なっている。ターボ分子ポンプ64は、ゲート入口バルブ66と変移(transition)ダクト68とによって板60から分離されている。 図18に示されたリアクの好ましい実施例において、ターボ分子ポンプは、単一の3000リッター/秒ポンプであり、図17の5000リッター/秒ポンプに替わるものである。
【0093】
図18には示されているが図17に示されていないものとして、ベローズ70と、チャック36に関連するリフトピン72とがある。この分野の従来のように、ベローズ70は、チャックの垂直移動を可能にするけれども、プラズマソース30によって取り囲まれた処理領域はシールされたままに維持されるのを保証する。この分野で同様に慣例的なリフトピン72は、ウエハー取り扱いアセンブリ34によって処理領域から取り除くために、ウエハーを静電チャック36から持上げるのに操作される。
【0094】
図19A、19Bおよび19Cは、図17のリアクと同様に、図18のリアクに利用することができる注入/排出板の3つの可能な実施例を示す平面図である。 図19Aにおいて、板80は、ポンプ64と連絡する真空戻しポート84の列を含む中央領域を取り囲んだガス注入ポート82のいくつかの集中的列が設けられている。境界86は、ポート82によって占められた領域をポート84によって占められた領域から分離する。 図19Bは、真空戻しポート94の丸い列によって取り囲まれたガス注入ポート92の列を有する板90を示している。境界96は、注入ポート92によって占められた領域を戻しポート94によって占められた領域から分離する。この実施例において、ポンプ64と連絡する戻しポートは、比較的大きい直径を各有している。
【0095】
図19Cは、板100の実施例を示していて、ガス注入ポート102は、真空戻しポート104とともに実質的に点在されている。ガス注入ポート102は、真空戻しポート104のリングに替わるガス注入ポート102のリングを有し、真空戻しポート104のように、複数の円形の集中的リングを形成するために配置されている。ここで先にも述べたように、プラズマソースに供給されたRFパワーの振幅は、特定の処理に望ましいプラズマ状態を生成するために変調される。例えば、本発明によれば、RFソースパワーは、例えば、チャンバ内のCFとArガスの交換と同期して“低(low)”パワーレベルと“高(high)”パワーレベルとの間で変調される。しかしながら、パワーレベル調整とガス交換との間のπ輻射(radiant)と比較して小さい位相シフトがある。
【0096】
この時間尺度のRFパワーの一時的変調は、主として、プラズマソースの負荷インピーダンスを変更する時間を整合する能力によって制限され、またそれ故、100%のパワー伝達を維持する。キャパシタを調整するために機械的装置に使用する従来のRF整合ネットワークは、1%以内の正確さでRFパワーパルスを規定するために100ステップを想定する2〜5秒の制御されたパルス幅のみを得ることができる(最速整合ネットワークの応答時間は、250から500ミリ秒に達する)。ガス交換レートに関連して先に議論したように、RFソースパワーの変調は、ガス滞留時間(またはガス交換時間)と比較可能なパルス幅を有して操作するためである。これは、現在の整合ネットワークの能力を確実に超える1〜10ミリ秒の時間尺度でRFパワーソースをパルス化する可能性を必要とする。
【0097】
本発明によれば、所望のパワー変調レートは、その開示をここで参照して取り込むが、「誘導的に結合されたプラズマを発生するための無線周波数パワーソース」の表題で1999年7月13日に特許出願番号60/143548として米国予備特許出願された出願中の代理人整理番号第251105に開示されているような、自由走行オシレータ(FRO)を使用することによって得られるであろう。RFパワー供給装置は、負荷共振(resonant)周波数の変化に応じて入力RF周波数を自動的に変調する自由作業オシレータを組み込む。FROの使用は、整合ネットワークとソリッドステートRFパワー供給装置の必要性を除去し、それらをプラズマソースに占められた(local)RF部分と、離隔して配置されたDCパワー供給装置とで置き換える。RFパワー、圧力、温度、流量、および/または、ガス種によってプラズマ状態がESRFソースに変わるので、RF周波数(またはオシレータ周波数)は、プラズマソースの自然周波数の変化に応じて変調する。これには、提案された解決方へのいくつかの利点があり、それは、信頼性、反復可能性、速度、パルス能力、および、プラズマ状態の診断として監視する周波数の使用である。
【0098】
FROのプラズマソース変化に対する応答は、入力変化によるリアク内を安定するためにガス/プラズマ用の時間に限定される。これは、運転状態中で何十μ秒であり、開始状態中で1ミリ秒ほどの高さである。FROが、運転状態と開始状態の両方における機械的調整を全く必要としないという事実は、入力RFパワー供給装置の応答において付加的遅滞が全くないことを意味する。それ故、FROは、零と一定のパワーレベルとの間、および各30μ秒および50μ秒の上昇および下降時間を有するパワーの異なったレベルで、RFパワーをパルス化する能力を実証する。2から5秒の持続時間または幅を有するパルスの生成が可能な整合ネットワークを有するソリッドステートパワー供給装置と異なり、FROは、3から5ミリ秒の一時的なパルス幅を有するRFパワーパルスを生成できる。さらに、パワーの異なったレベル間でパルス化するためのFROの能力は、複雑なマルチレベル、時間との周期的または非周期的依存を使用してRFパワーの変化を可能にする。
【0099】
FROシステムは、高電圧DCパワー供給装置と、電子管を通って流れる電子を制御するための可変電位グリッドを備えた電子管を有するオシレータを備えている。これらの全ての構成要素は、螺旋コイルによってプラズマソースに誘電的に結合されている。結果としての振動の持続性は、帰還電圧のゲインが単一よりも大きいか否かに依存する。プラズマの状態が変化するにつれて、帰還によって電位が電子管を横切り、そしてそれに続いて電子管は振動周波数を自己変調する。
【0100】
最後に述べた出願中の米国予備特許出願には、2つの変形が述べられている。パルス化操作達成の1つの方法は、電子グリッド電位をパルス化することである。この方法とともに、各30μ秒および50μ秒のパルス上昇および下降時間が得られる。第2の方法は、B+パワー供給をパルス化する。このパルス化は比較的高いパワーであるが、パルス上昇および下降時間が比較的短く、反復レートが比較的高くできる。
【0101】
このように、FROは、パルスRFパワーをチャックと同様プラズマソースに分布するのに現在好ましいシステムであり、これは以下に述べられる。これは、500Hz以上に高いレートでパルス処理することを可能にし、RFパワーレベルまたはプラズマ密度の制御用に強固なシステムを提供する。プラズマ密度制御は、プラズマ密度の測定と測定されたプラズマ密度値の帰還を必要とする。
より高価に見える他のアプローチは、周波数の帰還制御用の位相/量検知器とともに周波数が機敏な(agile)RFパワー発生器を使用する必要がある。このようにして、RFパワーは変調できるのに対して、最大パワー移動は、RF周波数を変えることによって維持される。このタイプの発生器は、1997年11月18日にHanawaに対して発行された米国特許5,688,357号に記載されている。しかしながら、本発明によるシステム用に、すでに存在する増幅器の位置にパルス変調されたRF増幅器を含むように修正され得る。
【0102】
さらに他のアプローチは、パワーパルス化可能性を有する従来のソリッドステートRF発生器またはRF信号励磁器、および、各パワーレベル用に構成されたプリセットキャパシタ設定を有する整合ネットワークとともにパルス化パワーの可能なRF増幅器を使用することである。キャパシタ設定が固定されるので、パルス時間は機械的構成要素の応答に制限されない。第1の例は、プリセットキャパシタ間での切り換えが可能なスイッチを備えている。そのようなシステム用の第2の例は、共通の励磁機、共通の励磁機信号出力間の位相シフター(phase shifter)、2つまたはそれ以上のRF増幅器、特定のパワーレベル用に構成された2つまたはそれ以上のプリセット整合ネットワーク、および、プラズマソースを取り囲む2つまたはそれ以上の誘電コイルを備えることができる。例えば、2つのパワーレベルが選択された場合、一連の連続したRFパワーを生成するために位相を異にして、2つのパワー増幅器が180度(degree)操作される。しかしながら、信号間の位相差および各信号のデューティーサイクルは変えられる。両方の場合において、正確なインピーダンス整合は、整合ネットワークの固定された特性のために達成できない。しかしながら、反射されたパワーが受入可能である場合、前方へのパワーは、増幅器からの全パワー出力を増加することによって、所望のレベルに増加する。
【0103】
パルス変調されたRFパワーを持ったプラズマソースを駆動するために以上述べたような方法を備え、ガス種の変更を制御するための方法をここで述べる。ガス種としてCFおよびArを使用するエッチング処置は先に述べた。変更されるべき2つのガス種は、ここにおいてより一般的にAおよびBとして識別される。しかしながら、ある処置のために、2つ以上のガス種および対応するRFサイクルができることを理解しなければならない。このことは以下により詳細に述べる。
図20A、20Bおよび20Cは、増幅の例と3つの一時的に変調された処理構成要素の相対的位相を示す線図である。すなわち、ガス種が図20Aに、RFソースパワーが図20Bに、およびチャックに適用されるRFバイアスパワーが図20Cに示されている。ガス交換パルス周期Tは、各RFソースとチャックバイアスパワー周期TRF−SおよびTRF−bに、少なくともほぼ等しいことが想定される。しかしながら、一般的に、これは常に正確である必要はない。
【0104】
図20Aにおいて、ガス交換サイクルはその周期、T、によって規定され、2つのガスの半サイクルの各パルス幅はτとτであり、2つのガスの各流量レートはFとFである。
同様に、図20Bにおいて、RFソースパワーサイクルはその周期、TRF−S、によって規定され、各RFソースパワーサイクルの2つの半サイクルの各増幅はPA1とPB1であり、各RFソースパワーサイクルの各半サイクルの各パルス幅はτA1とτB1であり、各RFソースパワー半サイクルの各位相は各ガス種の半サイクルに関してφA1とφB1である。
【0105】
図20Cは、チャックに適用されたRFバイアスパワー用の比較可能な変数を示していて、それらは、RFバイアスパワーサイクル周期、TRF−b、各RFバイアスパワーサイクルの2つの半サイクルの各増幅PA2とPB2、各RFバイアスパワーサイクルの半サイクルの各パルス幅τA2とτB2、および、各ガス種半サイクルに関するRFソースパワー半サイクルの各位相φA2とφB2である。
【0106】
さらに本発明によれば、ソースパワー、バイアスパワー、および/または、ガス流の変調は、チャンバ状態の変更、および/または、処理要求に依存する変更周期性を有することができる。図20Dは、そのような変調パターンの1つの例を示していて、反復周期τを有するパルスがその間に提供される第1の時間間隔T、反復周期τを有するパルスがその間に提供される第2の時間間隔T、および、その間に変調がない第3の時間間隔Tからなる。そのようなパターンは、エッチングまたは蒸着処理がいくつかのステップから成る多くの応用において有利である。各ステップは、時間間隔T、T、Tの各1つなどに関連する。各ステップは、異なったチャンバ状態、処理端点に先立つエッチングレートの可能な減少のような異なったエッチングレート用の要求、エッチング処理の異なった選択性等に関連する。
【0107】
上述したシステムの複雑さゆえに、また特に独立した複数の変数ゆえに、集中型コンピュータは、データベースから、電気システムからの元の場所の診断、および/または、所望のエッチングレート、選択性、特徴態様変数、等を含む予め蓄積された処理データを受け、また、最適処理結果を達成するための大きな変数空間を調整するニューラルネットワークを採用することが有用である。複数変数統計的分析用のニューラルネットワークの使用は、文献でよく立証されている。
【0108】
今まで提供された記述において、最小パルス幅が最小ガス交換時間に制限されることが想定されてきた。これは1から10ミリ秒であろう。しかしながら、ガスとRFパワーのパルス化は、この時間尺度に制限される。これらは例えば1ミリ秒に調整される。ガスがこの時間間隔において完全に交換できず、それ故、ガスパルス化に関するRFパワー応用に位相整合することによって調整できる遅延時間があることが生ずる。これは単に1つの例に過ぎない。位相シフトは、非常に高いパルスレートでの非最適状態の観点から、処理を最適の状態にするために変調することを可能にする。
【0109】
2つ以上のガス構成要素および処理構成要素が可能である。従って、これらの構成要素は、Pijおよびφij等によって表わされる。ここで、“i”と“j”は、ガス構成要素と処理構成要素とを各表わす。各変数は、標準的には経験に基づいてセットされ、処理結果の観察に基づいて変更できる。例えば、以下において議論されるプラズマ密度監視、または、本来の場所の放射分光学は、これらの変数を調整するために、システム較正要素への帰還によりリアルタイムな制御を提供するために採用できる。
【0110】
ガス種とRFソースパワーの変調は、イオンエネルギーとイオンエネルギー分布を制御するために、チャックに適用されるRFバイアスパワーの変調とともに結合されている。イオンエネルギーは、基板に存在し、プラズマシース(sheath)を横切って基板内に陽イオンを加速するために駆動ポテンシャルを与えられたDC自己バイアスに直接関連する。
RFパワーが、結合キャパシタにより基板を保持するチャックに適用された場合、DC自己バイアスは、電子の可動性とプラズマシースを横切る陽イオンにおける差の結果として開始する。DC自己バイアスを発生するためのメカニズムおよび技法は、この分野で既によく知られている。
【0111】
チャックに供給されたRFパワーの変調は、次のものからなる。すなわち、(i)RFパワーの振幅の変調、(ii)パルス較正要素間の位相の変調、および(iii)RF信号内の調波成分の変調である。それぞれの意味は、以下に提起される。
振幅の一時的変調とチャンバ内のガスの交換に関連するチャックに供給されたRFバイアスパワーの位相は、振幅の一時的変調とRFソースパワーの位相に関して上述したのと類似した方法で実現される。RFソースパワーと同様に、自由走行オシレータ(FRO)がRFパワーをチャックに供給するために採用できる。
【0112】
調波成分の変調およびRFバイアスされたチャックに関連して、プラズマシースを制御する本質に考慮が与えられなければならない。酸化エッチングにおいて、一般に、現在利用可能なプラズマリアクが、ウエハーRF駆動と、プラズマシースのインピーダンスを大規模以上によって越える接地との間の回路インピーダンスを呈することが信じられている。そのような高インピーダンスレベルは、有効なプラズマシース制御の達成を阻止する。十分低いインピーダンスを有するプラズマリアク構造は、先に引用した出願中の国際出願PCT/US/99/07962に開示されている。
【0113】
代表的には、プラズマリアク内において、リアクの接地電極と被駆動電極(すなわちチャック)との間のインピーダンスは、いくつかの較正要素に貢献する。リアク構造は、チャックとその支持部を取り巻くベローズを通過して、チャックからチャンバ壁に延びたスポークを外壁の上へ通過して、プラズマソースを通過して注入板へ、チャックから接地への電気経路を必要とする。これは、先に引用した出願中の国際出願PCT/US/99/07962に開示されている。図14Aと14Bに示されたリアク構造は、上記電気経路のいくつかの較正要素、例えば、チャンバ壁、ベローズおよびチャンバ底部のスポークを省略する。チャックは、プラズマソース底部に直接隣接して配置されていて、チャックは事実リアクの底壁として役立つ。チャックは、ウエハーを受容し供給するために、垂直に下方のロードロックチャンバ内に移動でき、次いで垂直上方へ移動しプラズマソース基部のように役立つその走行位置内にロックできるように、上下に移動するために構成されている。いくつかのリアクタンスの省略によるチャンバインピーダンスの減少によって、プラズマシースの制御はより実用に合うようになる。
【0114】
プラズマソースに分布されたRFパワーをパルス化する方法と、1%の正確さの達成が可能なFROを使用した矩形波の形状におけるRF振幅の変調は、先にも既に述べられた。しかしながら、最終的目的は、RF振幅の制御に類似した方法でプラズマ密度を制御することである。これはRF振幅を変調する制御システム用の帰還を提供するためのプラズマ密度の監視を必要とする。
パルスガス注入とRFパワーレベルとに加えて、本発明によるプラズマ処理操作は、処理チャンバ圧力を、一方のガスまたはパワーレベルパルスから、他方のまたはそのような各パルス中に変えることを同様に有する。
【0115】
本発明のいくつかの例示的実施例のみが上記において詳細に述べられたが、この分野の当業者は、本発明の新規な教示と利点から多くの変更が可能であることを容易に評価する。したがって、そのような変更は本発明の目的内に含まれるようにいとされている。
【図面の簡単な説明】
【図1A】 本発明に係わる処理の一例を構成する基板エッチング処理におけるステージを示す拡大された正面図である。
【図1B】 本発明に係わる処理の一例を構成する基板エッチング処理におけるステージを示す拡大された正面図である。
【図2A】 逆円錐側壁を有するプラズマ処理チャンバでの、異なった流れの状態におけるガスの流れのパターンを示す概略図である。
【図2B】 逆円錐側壁を有するプラズマ処理チャンバでの、異なった流れの状態におけるガスの流れのパターンを示す概略図である。
【図3】 プラズマ処理チャンバ内の異なった動作パラメータの変化を示す図である。
【図4】 プラズマ処理チャンバ内の異なった動作パラメータの変化を示す図である。
【図5】 本発明に係わるガス注入システムの第1の実施形態の断面図である。
【図6A】 本発明に係わるプラズマ処理システムでの様々の流れのパラメータを示す図である。
【図6B】 本発明に係わるプラズマ処理システムでの様々の流れのパラメータを示す図である。
【図7】 本発明に係わるプラズマ処理システムでの様々の流れのパラメータを示す図である。
【図8】 本発明に係わるガス注入システムの更なる実施形態の断面図である。
【図9】 本発明に係わるガス注入システムの更なる実施形態の断面図である。
【図10】 本発明に係わるプラズマ処理システムの様々の流れのパラメータを示す図である。
【図11】 本発明に係わるプラズマ処理システムの様々の流れのパラメータを示す図である。
【図12】 本発明に係わるガス注入システムの更なる実施形態の断面図である。
【図13】 本発明に係わるガス供給システムの概略図である。
【図14A】 本発明を実施するためのプラズマリアクの構造の形状を示す単純化された概略図である。
【図14B】 本発明を実施するためのプラズマリアクの構造の形状を示す単純化された概略図である。
【図15A】 図14Aのリアクの構成部品を形成する注入/排出面の一実施形態の平面図である。
【図15B】 図14Bのリアクの構成部品を形成する注入/排出面の一実施形態の平面図である。
【図16A】 本発明を実施するためのプラズマリアクの構造の形状を示す単純化された断面図である。
【図16B】 本発明を実施するためのプラズマリアクの構造の形状を示す単純化された断面図である。
【図16C】 本発明を実施するためのプラズマリアクの構造の形状を示す単純化された断面図である。
【図17A】 本発明に係わって動作され得るリアク装置の実際の実施形態の側面図である。
【図17B】 本発明に係わって動作され得るリアク装置の実際の実施形態の平面図である。
【図17C】 本発明に係わって動作され得るリアク装置の実際の実施形態の端部正面図である。
【図18】 図18は、本発明に係わって動作され得るリアク装置の更なる実際的な実施形態の、部分的に断面が示された側面図である。
【図19A】 図14Aおよび14Bのリアク装置においてのみでなく、図18のリアク装置の構成部品としても夫々に使用され得る注入/排出面の実施形態の平面図である。
【図19B】 図14Aおよび14Bのリアク装置においてのみでなく、図18のリアク装置の構成部品としても夫々に使用され得る注入/排出面の実施形態の平面図である。
【図19C】 図14Aおよび14Bのリアク装置においてのみでなく、図18のリアク装置の構成部品としても夫々に使用され得る注入/排出面の実施形態の平面図である。
【図20A】 本発明に係わる処理におけるパラメータの変調を示す波形図である。
【図20B】 本発明に係わる処理におけるパラメータの変調を示す波形図である。
【図20C】 本発明に係わる処理におけるパラメータの変調を示す波形図である。
【図20D】 本発明に係わる処理におけるパラメータの変調を示す波形図である。
【図21】 本発明に係わって動作するプラズマリアク開口のウエーハチャック上の自己バイアスの発生を示す信号図である。

Claims (23)

  1. リアクタ内の基板にプラズマアシスト処理を施すための方法であって:
    第1の時間周期中に第1の処理ガスとして少なくとも1つの処理ガスをリアクタ内に導入し、そして第1の時間周期に続く第2の時間周期中に第1の処理ガスとは異なった成分を有する第2の処理ガスを導入し;
    リアクタ内でRF電磁場を確立し、電磁場を前記第1および第2の処理ガスと相互作用することによってリアクタ内でプラズマを生成し;
    各エネルギーレベル値が基板の各異なった処理プロセスの実行と関連されるように、電磁場が、いずれもプラズマを維持するのに十分な少なくとも2つの値間で周期的に変化するエネルギーレベルを有するようにし;
    前記リアクタ内の前記基板を支持するための支持部材の上方に取り付けられたガス注入板内の排出ダクトを通して前記第1および第2の処理ガスを前記真空ポンプへと排出し;
    各エネルギーレベル値は、基板への各異なった処理プロセスの実行と関連付けられている方法。
  2. 前記エネルギーレベルを有するようにするステップにおいて、電磁場のエネルギーレベルは非矩形波関数にしたがって変化される請求項1記載の方法。
  3. 前記エネルギーレベルを有するようにするステップにおいて、電磁場のエネルギーレベルは正弦関数、ランプ関数、またはステップ関数にしたがって変化される請求項1記載の方法。
  4. 前記エネルギーレベルを有するようにするステップにおいて、電磁場のエネルギーレベルはそれぞれがプラズマを維持するのに十分な少なくとも3つの値の間で変化される請求項1記載の方法。
  5. 前記エネルギーレベルを有するようにするステップにおいて、電磁場のエネルギーレベルは各異なった時間間隔中に各異なった反復周期を有して周期的に変化される請求項1記載の方法。
  6. リアクタ内でガス圧力の周期的変化を維持することをさらに備えた請求項1記載の方法。
  7. 他方の処理ガスをリアクタ内に導入する前に、先に導入された一方のガスの実質的に全体をリアクタから引き出すことをさらに備えた請求項1記載の方法。
  8. 周期的に変化するために前記電磁場を生ずるステップは、第1の時間周期のほとんどの部分中において2つの値のうちの第1の値と、第2の時間周期のほとんどの部分中において2つの値のうちの第2の値を有するためのエネルギーレベルを生ずるためにもたらされる請求項7記載の方法。
  9. 第1の処理ガスを導入するステップと第2の処理ガスを導入するステップとは、周期的方法で反復される請求項8記載の方法。
  10. 各時間周期は、100ミリ秒よりも短い持続期間を有する請求項9記載の方法。
  11. 基板は、チャックに設けられたウエハーであって、RFバイアス電圧をチャックに適用することをさらに備えた請求項10記載の方法。
  12. 前記RFバイアス電圧を適用するステップは、RFバイアスを周期的に2つの値の間で変化することを備えた請求項11記載の方法。
  13. RFバイアス電圧は、RF領域強度の周期的変化と同時に変更される請求項12記載の方法。
  14. 前記第1の処理ガスを導入するステップと第2の処理ガスを導入するステップにおいて、各処理ガスは、非矩形波関数にしたがって変化する流れレートで導入される請求項9記載の方法。
  15. 前記第1の処理ガスを導入するステップと第2の処理ガスを導入するステップにおいて、各処理ガスは、正弦関数、ランプ関数、またはステップ関数にしたがって変化する流れレートで導入される請求項10記載の方法。
  16. 第2の時間周期に続く第3の時間周期中に、第1と第2の処理ガスのそれぞれとは異なった成分を有する少なくとも第3の処理ガスを導入することをさらに備えた請求項1記載の方法。
  17. リアクタのガス圧力の周期的変化を維持することをさらに備えた請求項1記載の方法。
  18. 基板にプラズマアシスト処理を施すためのリアクタであって:
    プラズマ領域を取り囲むチャンバと;
    第1の時間周期中に第1の処理ガスを前記チャンバ内に導入し、そして第1の時間周期に続く第2の時間周期中に第1の処理ガスとは異なった成分を有する第2の処理ガスを導入するように構成されている、プラズマ領域に直接隣接したガス注入アセンブリと;
    プラズマを生ずるために前記第1および第2の処理ガスの少なくとも1つと相互作用し、いずれもプラズマを維持するのに十分な少なくとも2つの値間で周期的に変化するエネルギーレベルを有するRF電磁場をプラズマ領域に生成するように構成されているRFソースパワー供給装置と;
    基板をプラズマ領域と連絡してチャンバに支持するための支持部材と;
    選択された真空圧力をプラズマ領域で維持するレートで処理ガスを引き出すように適応される、プラズマ領域と連絡する真空ポンプと;
    を備え、
    前記ガス注入アセンブリは、複数のガス注入ノズルを設けられたガス注入板と、前記第1および第2の処理ガスの少なくとも1つを供給するために前記ノズルの少なくとも1つに各接続された複数のガス注入バルブと、前記各ノズルに供給される前記第1および第2の処理ガスを間歇的方法で生ずるために前記複数のガス注入バルブに接続されたバルブ制御装置とを備え
    前記ガス注入板は、前記支持部材の上方に取り付けられ、前記第1および第2の処理ガスが通って前記真空ポンプへと流れる複数の排出ダクトを備えている、リアクタ。
  19. 前記バルブ制御装置は、各処理ガスをパルスの形態で前記チャンバ内に導入するために操作される請求項18記載のリアクタ。
  20. 前記各注入バルブは、電磁装置または圧電装置である請求項18記載のリアクタ。
  21. 前記各注入バルブは、ガスを前記ノズルの単一の各1つに供給するために接続されている請求項18記載のリアクタ。
  22. 前記各注入バルブは、ガスを前記ノズルの各複数に供給するために接続されている請求項18記載のリアクタ。
  23. 前記各注入ノズルは、超音波注入ノズルである請求項18記載のリアクタ。
JP2001516957A 1999-08-17 2000-08-09 パルスプラズマ処理方法および装置 Expired - Lifetime JP4819267B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14917799P 1999-08-17 1999-08-17
US60/149,177 1999-08-17
PCT/US2000/021667 WO2001012873A1 (en) 1999-08-17 2000-08-09 Pulsed plasma processing method and apparatus

Publications (2)

Publication Number Publication Date
JP2003507880A JP2003507880A (ja) 2003-02-25
JP4819267B2 true JP4819267B2 (ja) 2011-11-24

Family

ID=22529101

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001516957A Expired - Lifetime JP4819267B2 (ja) 1999-08-17 2000-08-09 パルスプラズマ処理方法および装置

Country Status (9)

Country Link
US (1) US7166233B2 (ja)
EP (1) EP1214459B1 (ja)
JP (1) JP4819267B2 (ja)
KR (1) KR100750420B1 (ja)
CN (1) CN100371491C (ja)
AT (1) ATE420454T1 (ja)
DE (1) DE60041341D1 (ja)
TW (2) TWI267562B (ja)
WO (1) WO2001012873A1 (ja)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US7288293B2 (en) * 2001-03-27 2007-10-30 Apit Corp. S.A. Process for plasma surface treatment and device for realizing the process
JP4504012B2 (ja) * 2001-06-29 2010-07-14 東京エレクトロン株式会社 半導体処理のための方向付けられたガスの射出装置
JP2003173757A (ja) * 2001-12-04 2003-06-20 Nissin Electric Co Ltd イオンビーム照射装置
KR100449645B1 (ko) * 2002-01-23 2004-09-22 주식회사 아이피에스 자기 ald 박막증착방법
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2005072260A (ja) * 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7763546B2 (en) * 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
JPWO2008117832A1 (ja) * 2007-03-27 2010-07-15 キヤノンアネルバ株式会社 真空処理装置
US7768766B2 (en) 2007-06-01 2010-08-03 Lam Research Corporation Plasma processing system ESC high voltage control
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8659335B2 (en) 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8633452B2 (en) 2011-07-13 2014-01-21 Fei Company Methods and structures for rapid switching between different process gases in an inductively-coupled plasma (ICP) ion source
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8822913B2 (en) 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8722526B2 (en) 2012-07-27 2014-05-13 Veeco Ald Inc. Growing of gallium-nitrade layer on silicon substrate
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9156688B2 (en) 2012-11-30 2015-10-13 Elwha Llc Systems and methods for producing hydrogen gas
US9434612B2 (en) 2012-11-30 2016-09-06 Elwha, Llc Systems and methods for producing hydrogen gas
WO2014085594A2 (en) * 2012-11-30 2014-06-05 Elwha Llc Systems and methods for producing hydrogen gas
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103898613B (zh) * 2012-12-24 2017-07-07 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9006109B2 (en) * 2013-03-27 2015-04-14 Infineon Technologies Ag Semiconductor devices and methods for manufacturing semiconductor devices
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP5921580B2 (ja) * 2014-01-15 2016-05-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
CN108292603B (zh) * 2016-01-06 2022-06-28 东芝三菱电机产业系统株式会社 气体供给装置
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
KR102362282B1 (ko) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR102287835B1 (ko) 2016-04-20 2021-08-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN106234557A (zh) * 2016-10-10 2016-12-21 成都沃特塞恩电子技术有限公司 一种射频功率源和射频解冻装置
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019036655A (ja) 2017-08-18 2019-03-07 ソニーセミコンダクタソリューションズ株式会社 エッチング方法およびエッチング加工装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2021236359A1 (en) 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3677799A (en) 1970-11-10 1972-07-18 Celanese Corp Vapor phase boron deposition by pulse discharge
US3721583A (en) 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
FR2320774A1 (fr) 1974-01-10 1977-03-11 Radiotechnique Compelec Procede et dispositif de depot de materiau dope
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
ATE49023T1 (de) * 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JPH08172081A (ja) * 1995-08-28 1996-07-02 Hitachi Ltd プラズマ表面処理装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH11158615A (ja) * 1997-11-27 1999-06-15 Nec Corp スパッタリング装置及びそれを使用した半導体装置の製造方法
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JP3186689B2 (ja) * 1998-03-27 2001-07-11 株式会社日立製作所 プラズマ処理方法およびその装置
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法

Also Published As

Publication number Publication date
ATE420454T1 (de) 2009-01-15
CN100371491C (zh) 2008-02-27
KR20020040775A (ko) 2002-05-30
EP1214459B1 (en) 2009-01-07
US7166233B2 (en) 2007-01-23
US20020160125A1 (en) 2002-10-31
JP2003507880A (ja) 2003-02-25
TWI267562B (en) 2006-12-01
EP1214459A1 (en) 2002-06-19
TW200629337A (en) 2006-08-16
WO2001012873A1 (en) 2001-02-22
TWI293769B (en) 2008-02-21
CN1369021A (zh) 2002-09-11
EP1214459A4 (en) 2006-07-26
DE60041341D1 (de) 2009-02-26
KR100750420B1 (ko) 2007-08-21

Similar Documents

Publication Publication Date Title
JP4819267B2 (ja) パルスプラズマ処理方法および装置
KR101115439B1 (ko) 이중 음극 주파수 혼합을 사용한 플라즈마 제어
US5242539A (en) Plasma treatment method and apparatus
US5753066A (en) Plasma source for etching
US7658799B2 (en) Plasma film-forming apparatus and plasma film-forming method
KR100294606B1 (ko) 고속소프트배기방법및장치
US5476182A (en) Etching apparatus and method therefor
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
JP3623256B2 (ja) 表面処理方法および表面処理装置
JP4698024B2 (ja) 異方性エッチングのための方法と装置
US20070184190A1 (en) Method for producing carbon nanowalls, carbon nanowall, and apparatus for producing carbon nanowalls
US20020020494A1 (en) Plasma processing system and method
KR101953596B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
KR100256155B1 (ko) 저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치
US6501082B1 (en) Plasma deposition apparatus and method with controller
JPH11204297A (ja) プラズマ処理装置及びプラズマ処理方法
EP0512677B1 (en) Plasma treatment method and apparatus
US20040011464A1 (en) Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection
JP2963116B2 (ja) プラズマ処理方法およびプラズマ処理装置
CN113767453A (zh) 等离子处理装置以及等离子处理方法
JPH0441674A (ja) マイクロ波プラズマ装置
JPH08246146A (ja) プラズマ処理方法及びその装置
CN114121582A (zh) 等离子体处理装置及其工作方法
JPH08148469A (ja) プラズマ装置およびこれを用いたプラズマ処理方法
JP2738810B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110802

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110901

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4819267

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term