KR100256155B1 - 저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치 - Google Patents

저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치 Download PDF

Info

Publication number
KR100256155B1
KR100256155B1 KR1019960061449A KR19960061449A KR100256155B1 KR 100256155 B1 KR100256155 B1 KR 100256155B1 KR 1019960061449 A KR1019960061449 A KR 1019960061449A KR 19960061449 A KR19960061449 A KR 19960061449A KR 100256155 B1 KR100256155 B1 KR 100256155B1
Authority
KR
South Korea
Prior art keywords
plasma
antenna elements
chamber
processing apparatus
plasma processing
Prior art date
Application number
KR1019960061449A
Other languages
English (en)
Other versions
KR970032301A (ko
Inventor
세이지 사무카와
츠토무 츠카다
유키토 나카가와
기바츠 시노하라
히로후미 마츠모토
히로유키 우에야마
Original Assignee
기바츠 시노하라
니폰 고슈하 가부시키가이샤
니시히라 순지
아네르바 가부시키가이샤
가네꼬 히사시
닛본 덴기 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 기바츠 시노하라, 니폰 고슈하 가부시키가이샤, 니시히라 순지, 아네르바 가부시키가이샤, 가네꼬 히사시, 닛본 덴기 가부시끼가이샤 filed Critical 기바츠 시노하라
Publication of KR970032301A publication Critical patent/KR970032301A/ko
Application granted granted Critical
Publication of KR100256155B1 publication Critical patent/KR100256155B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Abstract

플라즈마 처리장치는 플라즈마 챔버와, 제 1세트의 병렬 안테나소자 및 제 2세트의 병렬 안테나소자에 의해 형성된 안테나를 포함하고, 상기 제 1세트의 안테나소자가 제 2세트의 안테나소자와 서로 맞물려 배열되어 있다. 에너지원이 제 1위상의 진동에너지를 제 1세트의 안테나소자에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나소자에 공급하여 전자가 챔버내에서 생성된 플라즈마에 한정되는 주파수에서 챔버내에서 대향 이동하는 에너지장을 만든다.

Description

저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치
본 발명은 플라즈마 챔버에서 고주파 에너지장을 생산하기 위해 안테나를 이용하는 플라즈마 처리장치에 관한 것이다.
종래 플라즈마 이용 에칭기술에서 사용된 플라즈마는 표면파(휘슬러파) 플라즈마(SWP), 전자 사이클로트론 공명 플라즈마(ECR) 및 유도결합 플라즈마(Induction Coupled Plasma;ICP)등이 있다. 일본 공개특허 평3-68773호에 공개된 바와 같이, SWP 방법의 플라즈마 처리장치는 플라즈마 챔버의 상부를 둘러싸고 있는 안테나를 포함한다. 안테나는 고주파 에너지원(수십 MHz)에 연결되어 플라즈마 발생실에서 휘슬러파를 생산한다. 플라즈마 챔버의 상부의 수직축선에 평행한 방향으로 자장을 만들기 위해 솔레노이드가 설치되어 있다. 챔버의 하부는 전자를 한정하기 위해 다수의 병렬 영구자석에 의해 둘러싸여 있는 플라즈마 분산영역이다. 웨이퍼 가압판(wafer platen)은 챔버의 하부에 지지되어 RF 바이어스 진동자에 연결되어 있다.
ECR 에칭방법은 일본 공개특허 소60-134423호에 개시된 바와같이, 극초단파(microwave) 플라즈마 에칭이 자기 및 극초단파장에서 전자의 사이클로트론 운동 사이의 공명을 이용하는 방전실에서 일어나는 방법이다.
일본 공개특허 평3-79025호에 공지된 바와 같은 ICP 방법은 플라즈마 챔버에 장착된 나선코일을 이용한다. 고주파 에너지원(수십 MHz)이 코일에 가해지고, 챔버에 설치된 웨이퍼 가압판이 고주파 전자기장을 생성한다.
상기 종래 기술에 의해 고밀도 플라즈마가 구해질 수 있지만, 플라즈마에서 과다한 수의 활성(고에너지) 전자가 생성되고 이 활성전자에 의해 반응가스가 과다하게 해리되고 따라서 고전자온도에서 플라즈마가 유지된다고 하는 단점이 있다. 과다한 해리때문에 이산화규소 마스크를 통해 실리콘 기판에서 플라즈마 이용에칭의 선택도(다른 재료간의 에칭률의 비)가 12:1 만큼 높다. 고 전자 온도로 인해 다량의 전자가 이산화규소 마스크에서 홀의 측벽에 축적되고, 홀을 통해 충돌하는 전자가 에칭된 실리콘 기판의 더 깊은 영역으로 홀을 지나 이동함에 따라 확산하는 경향이 있고, 그래서, 마스크와 기판 사이의 패턴폭의 차이(즉 저선택도)가 만들어진다. 그결과, 에칭률이 고선택도를 얻기 위해 패턴에 따라 변화되어야 한다.
따라서, 본 발명의 목적은 플라즈마를 저전자온도에서 유지함으로써 종래 플라즈마 이용 에칭기술로서 달성가능한 선택도보다 더 높은 선택도를 갖는 실리콘 웨이퍼를 에칭할 수 있는 플라즈마 처리장치를 제공하는데 있다.
본 발명의 더 넓은 양태에 따라, 플라즈마 처리장치는 플라즈마 챔버, 챔버에 인접하게 장착되어 있고 제 1세트의 병렬 안테나 소자 및 상기 제 1세트의 안테나 소자에 서로 맞물려 배열된 제 2세트의 병렬 안테나 소자를 구비하는 안테나 어레이, 상기 챔버내에 있는 웨이퍼 가압판관 및, 제 1위상의 진동에너지를 제 1세트의 안테나 소자에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나 소자에 공급하여 전자가 챔버내에 생성된 플라즈마에 한정되는 그러한 주파수에서 플라즈마 챔버에서 대향 이동하는 에너지장을 만드는 에너지원을 포함한다.
다른 양태에 따라, 본 발명에 의한 플라즈마 처리 장치는 전기 전도성 상단 부재와 절연성 원통형 측면부재 및 하단부재에 의해 형성된 플라즈마 챔버, 전기 전도성 환형 상단부재와 원통형 측면부재 및 전기 전도성 환형 하단부재에 의해 형성된 원통형 구조체, 상기 전기 전도성 환형 상단부재에 고정된 제 1세트의 병렬 안테나소자 및 상기 전기 전도성 환형 하단부재에 고정된 제 2세트의 병렬 안테나소자, 상기 챔버내에 있는 웨이퍼 가압판 및, 제 1위상의 진동에너지를 제 1세트의 안테나소자에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나소자에 공급하여 전자가 챔버내에 생성된 플라즈마에 한정되는 주파수에서 플라즈마 챔버에서 대향 이동하는 에너지장을 만드는 에너지원을 포함하고, 상기 제 1세트의 안테나 소자가 제 2세트의 안테나 소자와 서로 맞물려 배열된 것을 특징으로 한다.
제1도는 본 발명에 의한 플라즈마 처리장치의 평면도.
제2도는 제1도의 선2를 따라 취한 수직단면도.
제3도는 고주파 에너지원에 연결된 제1도의 안테나 구조체의 분해도.
제4도는 고주파 에너지원의 변형예의 블록 다이어그램.
제5도는 RF 바이어스원의 변형예의 블록 다이어그램.
제6도는 영구자석의 어레이가 설치되어 있는 안테나 구조체 및 플라즈마 챔버의 평면도.
제7도는 본 발명에 의해 생산된 플라즈마의 스펙트럼 프로필.
제8도는 본 발명의 에칭률 대 패턴폭의 관계를 종래의 플라즈마 이용 에칭 기술과 비교한 측정값을 나타내는 그래프.
제9도는 변형된 플라즈마 챔버의 평면도.
제10도는 제9도의 선 10을 따라 취한 단면도.
〈도면의 주요부분에 대한 부호의 설명〉
10, 70 : 플라즈마 챔버 23, 23, 80, 82 : 안테나소자
19, 77 : 웨이퍼 가압판 28, 85 : 임피던스 매칭회로
29, 86 : 스플리터 40, 50 : 진폭 변조기
41, 51 : 가변폭 펄스 발생기
도 1및 2에서, 본 발명의 플라즈마 처리장치는 외부 구조체 또는 안테나 구조체(11)에 의해 둘러싸인 원통형 구조의 플라즈마 챔버(10)를 포함한다.
플라즈마 챔버(10)는 석영과 같은 절연체의 원통형부재(12)와 전기 전도성의 상단 및 하단 부재(13, 14)에 의해 형성된다. 통상적인 실시예에서, 챔버는 500mm의 직경을 갖고, 도시되지 않은 가스 유입구 및 배출구를 갖추고 있으며, 반응가스가 유입될 때 필요한 저압을 유지하는 장치가 제공되어 있다. RF 바이어스장을 생성하기 위하여, 상단부재(13)가 지점(15)에서 접지되어 있고, 하단 부재(14)가 커패시터(16)를 통해 13.56MHz에서 작동하는 RF 바이어스 진동자(17)에 연결되어 있다. 견본 웨이퍼(18)가 하단부재(14)에 고정된 가압판(19)에 놓여있다.
안테나 구조체(11)는 원통형 측면부재(20), 환형 상단부재(20A), 및 환형 하단부재(20B)로 형성되어 있다. 측면부재(20)는 안테나 구조체(11)의 외벽을 형성하고, 플라즈마 챔버(10)의 수직치수보다 작은 수직길이를 가진다. 상단 및 하단부재(21, 22)의 내경은 플라즈마 챔버(10)의 직경보다 약간 크고, 그 내부모서리는 플라즈마 챔버(10)를 향해 개방되어 있는 공간을 형성한다. 안테나 구조체(11)의 내부에 설치된 서로 맞물린 배열의 병렬 안테나소자는 환형 상단부재(21)에서 하향 연장하는 제 1세트의 안테나소자(23)와, 환형 하단부재(22)에서 상향 연장하는 제 2세트의 안테나소자(24)를 포함하며, 상기 안테나소자는 모두 동일한 치수의 원통형 모양으로 되어 있다. 각 세트의 안테나소자는 벽(20)의 내측면을 따라 다른 세트의 안테나소자와 교대로 배열되어 있다. 양 세트의 각 안테나소자의 전기적 길이는 이들 안테나소자에 공급된 고주파 여기에너지의 파장의 4분의 1과 동일하다.
각 안테나소자(23, 24)는 조절나사(26)의 한단부에 고정된 부분원통형 전도부재(25)에 의해 형성된 가변용량성 소자를 통해 접지에 전기용량적으로 연결되어 있다. 각 조절 나사는 벽(20)을 통해 나사 연결되어 있으므로 외부에서 수동으로 회전하여 각 안테나소자와 부분원통형 부재(25) 사이의 틈을 조정할 수 있다. 각 안테나소자의 전기용량값 및 전기적 길이는 이런 방법으로 정밀하게 조정될 수 있다. 에너지 주파수가 500MHz 인 경우, 각 안테나소자는 15cm의 사분원 파장을 가진다. 그들의 물리적 길이가 10cm 이면, 각각의 가변용량을 조정함으로써 추가로 5cm를 얻을 수 있다.
안테나 어레이가 분해된 형태로 도시되어 있는 도 3에서, 500MHz 진동자(27)는 임피던스 매칭회로(28)를 통해 스플리터(29)에 여기에너지를 공급하고, 이 스플리터에서 에너지가 걸려있는 세트의 안테나소자(23)에 부착된 커넥터(31)에 라인(30)을 경유하여 분할 및 분포된다. 한편, 직립한 세트의 안테나소자(24)는 접속(32)에 의해 접지에 연결되어 있다. 그 결과, 고주파 전자기장은 걸려있는 각 안테나소자(23) 주위에서 발생되고, 각각의 인접한 직립한 안테나소자(24) 주위에서 유도전류에 의해 발생된다. 고주파 전류가 각각의 안테나소자(24)에서 흐를때 이들 소자 주위에서 발생된 전자기장은 안테나소자(23) 주위에서 생성된 전자기장의 운동에 대향방향으로 이동한다. 따라서, 플라즈마 챔버(10)에서의 전자는 안테나소자(23)에 의해 발생된 전자기장에 의해 챔버의 수직 축선에 평행한 방향으로 가속되고, 안테나소자(24)에 의해 생성된 대향진행 전자기장에 의해 그들의 방향을 바꾼다. 그러므로, 전자는 500MHz의 주파수에서 가속 및 감속하는 전자기장의 연속 사이클에 노출되어 있다.
안테나소자의 병렬배치 때문에, 두세트의 안테나소자에 의해 생성된 전자기장은 전자에 부여되는 에너지가 거의 없을 정도로 다음 감속사이클 중에 가속전자에 지연효과를 가지며, 방전을 유지하는 어려움에 직면하게 된다. 그러나, 일부의 가속전자가 반응가스 분자에 충돌하여 그 방향을 바꾼후에 다음(감속) 사이클중에 이전(가속) 사이클의 운동방향에 대향방향으로 가속된다. 그결과, 전자의 평균속도가 제한되고, 적절히 가속된 전자의 양호한 양이 플라즈마에서 한정되고, 약간의 전자를 남기며 이것이 크게 가속되어 과다하게 활성화될 수 있다. 그러나, 이러한 과다한 활성전자는 플라즈마에서 이탈하고, 플라즈마 챔버(10)의 상단 또는 하단부재에 부딪칠때 소멸한다. 전자는 가속 사이클중에 전자들간의 충돌시에 가스분자로 부터 자유롭게 되고 이 자유전자가 다음 감속 사이클중에 역방향으로 가속되기 때문에, 소멸된 전자는 재공급되어 가속공정을 유지한다.
따라서, 플라즈마는 유지되고, 그밖에 반응가스가 과다한 해리를 초래하는 과다한 활성전자의 수가 종래 플라즈마 이용 에칭기술에 비해 상당히 작다. 또한, 안테나소자의 병렬배치 때문에, 고주파 자기 커스프장(high frequencymagnetic cusp field)이 챔버(10)내에서 생성된다. 따라서 균일한 고밀도 플라즈마가 종래기술의 전자온도보다 훨씬 낮은 전자온도(전자볼트)에서 구해질 수 있다.
상단부재(13)가 전기 전도체로 제조되므로, 절연체와 같이 다른 중량물질을 지탱하기에 충분한 두께를 갖는 절연벽부재(12)를 필요로 하지는 않는다. 플라즈마 챔버(10)가 직경 400mm이고 높이 100mm인 경우, 절연벽 부재(12)는 5mm 두께의 상단부재(13)를 지탱하기에 충분하다. 이것은 안테나 어레이로 부터 플라즈마로 고주파 에너지를 효율적으로 전송하기에 유익하다.
또한, 전술한 작은 물리적 길이를 유지하면서 동시에 안테나 어레이를 위해 필요한 전기적 길이를 얻기 위해 가변 용량소자를 사용하기 때문에, 플라즈마 챔버(10)는 낮은 프로필을 달성하도록 구성될 수 있다. 낮은 프로필 구조는 측벽부재(12)의 두께가 좁다는 특성 때문에 유익하다. 낮은 프로필 구조는 플라즈마에 노출되는 절연 측면부재(12)의 영역이 높은 프로필 챔버의 경우에 비해 낮은 프로필 챔버의 경우에서 더 적기 때문에 더욱 유리하다. 절연체가 플라즈마에 더 작게 노출되면, 스퍼터링이 측벽부재의 외부로 연장하며 측벽부재가 반응분자에 의해 부식되는 경우 절연벽부재(12)로 부터 불필요한 가스분자 방출의 악영향을 줄이기 때문에 바람직한 특징이 된다.
도 2를 참조하면, 선택사항으로서 가변 DC 전압원(15A)이 접지와 상단부재(13) 사이에 설치되어 있다. 이러한 가변 DC 전압원을 설치하면, 챔버(10)내의 플라즈마를 필요한 저 포텐셜에서 유지하므로 스퍼터링영역이 제한되어 절연부재(12)의 내벽을 부식시키는 일이 방지된다.
양호한 실시예에서, 플라즈마의 전력값은 도 4 및 5에 도시한 바와 같이 가변 듀티펄스에 따라 진동자를 조절함으로써 제어된다. 도 4에서, 진폭변조기(40)는 가변폭 펄스 발생기(41)로부터 나온 저주파펄스에 따라 500MHz 에너지의 진폭을 변조하고, 임피던스 매칭회로(28)로 공급한다. 유사한 방법으로, 진폭변조기(50)는 RF 바이어스 진동자(17)와 커패시터(16) 사이에 설치되어 가변폭 펄스 발생기(41)로 부터 나온 저주파펄스에 따라 13.56MHz 바이어스 에너지의 진폭을 변조한다. 변조펄스의 듀티비를 적절히 변화시킴으로써 플라즈마 전력은 요구치까지 정확하게 제어될 수 있다. 통상적인 실시예에서, 각 변조펄스의 듀티비는 1:1과 1:10 사이의 범위에서 변한다.
도 6에서, 다수의 영구자석(60, 61)이 안테나(11)의 상단에 배열되어서 각 자석이 인접한 안테나소자(23, 24) 사이에 배치되며 인접한 자석에 대해 대향한 자화방향으로 향하고 있으므로 연속한 안테나소자(23, 24) 사이의 공간에서 고밀도 정적 자기(커스프)장을 만든다. 플라즈마로 부터 이탈한 과다한 활성전자는 챔버(10)의 내벽에 도달하지만, 정적 자기장의 영향을 받아 그들의 방향을 바꾸게 되므로 전자들은 플라즈마에 한정된다. 플라즈마 챔버(10)의 측벽에서의 전자손실이 감소된다. 이런 배치의 장점은 플라즈마가 여전히 저전자온도에서 유지될 수 있다는 것이다.
실험에서는, 이산화규소 코팅된 실리콘 웨이퍼를 에칭하기 위해 C4F8플라즈마를 사용하여 1000와트에서 500MHz 주파수 에너지를 안테나 어레이에 적용하고 웨이퍼에 플라즈마로 부터 300와트의 에너지를 가하였다. 플라즈마내부의 전자온도는 1 내지 2 전자볼트로서 측정되었고, 이것은 종래 플라즈마 처리장치의 전자온도(보통 4 내지 8 전자볼트)보다 낮은 것이다. 플라즈마의 정규화된 스펙트럼 강도가 도 7에 도시되어 있다. 저전자온도 때문에, 반응가스의 해리율이 낮으며, 따라서 CF2라디칼이 도 7에 도시한 바와 같이 다른 라디칼보다 현저히 높은 스펙트럼 강도를 가진다. 실험은 700 nm/min 속도에서 이산화규소층을 통해 실리콘 웨이퍼를 에칭하는 것을 포함하였다. 그 결과는 0.5㎛ 직경의 홀에 대해 50(이상):1의 선택도(이산화규소와 실리콘 사이의 각 속도의 비율로서 정의됨)가 구해졌다는 것을 나타내고 있다. 비교적 높은 C/F 비를 갖는 반응가스 또는 CH2F2와 같은 수소 함유가스를 사용함으로써 더 큰 선택도를 구하였다. 그러한 반응가스를 사용하여 1㎛ 직경의 홀에 대해 무한값의 선택도를 구하였다.
각 속도에서 실리콘 패턴폭의 종속도(dependancy)를 결정하기 위해 더 많은 실험을 하였는데, 본 발명에 의해 구한 결과와, 표면파 플라즈마(SWP), 전자사이클로트론 공명 플라즈마(ECR) 및 유도결합 플라즈마(Induction Coupled Plasma;ICP)를 포함한 종래 플라즈마에 의해 구한 결과를 비교하였다. 도 8은 0.2와 1.0 마이크로미터 사이의 범위에서 패턴폭의 함수로서 정규화된 에칭률을 기입함으로써 여러가지 방법의 패턴-폭 종속도를 도시하고 있다. 도 8에 도시한 바와 같이, 본 발명의 에칭률은 0.2 내지 1.0㎛ 범위에 걸쳐 일정하게 유지한다. 종래 플라즈마에 의하면, 0.5㎛ 보다 좁은 패턴폭에 대한 에칭률은 1.0㎛의 패턴폭에서의 속도보다 30 내지 40%가 느리다.
안테나 어레이가 500MHz에서 구동되는 실시예에 대해 설명하였지만, 실험은 100MHz이상의 저전자온도에서 고밀도 플라즈마가 구해졌다는 것을 나타내고 있다.
간단하고 효과적인 플라즈마 처리장치가 도 9 및 10에 도시되어 있다. 플라즈마 챔버(70)는 절연성 상단부재(71)와, 지점(78)에 접지되어 있는 전도성 측면부재(72)와, 비전도성 하단부재(73)를 가진다. RF 바이어스 진동자(75)는 커패시터(76)에 의해 하단부재(73)를 통해 웨이퍼 가압판(77)에 연결되어 있다.
절연성 상단부재(71)상에 설치된 서로 맞물린 안테나 어레이는 원통형 모양의 제 1세트의 병렬 안테나소자(80)와, 상기 제 1세트의 안테나소자와 서로 맞물려서 배열된 원통형 모양의 제 2세트의 병렬 안테나소자(82)를 포함한다. 각 안테나소자(80)는 한 단부에서 제1 피더소자(81;feeder element)에 접속되고, 각 안테나소자(82)도 유사한 방법으로 한 단부에서 제2 피더소자(83)에 접속되고, 상기 제2 피더소자는 접속(89)에 의해 접지되어 있다. 진동자(84)에 의해 생성된 극초단파 에너지가 임피던스 매칭회로(85)를 통해 스플리터(86)에 공급되고, 여기서 전도체(87)에 의해 접속기(88)를 거쳐 각각의 안테나소자(80)에 분할되어 분포된다. 전술한 실시예에서, 고주파 전자기장이 제 1세트의 안테나소자(80) 주위에 생성되고, 대향 위상의 전자기장이 제 2세트의 안테나소자(82) 주위에 유도에 의해 생성된다.

Claims (17)

  1. 플라즈마 챔버(10;70)와; 제 1세트의 병렬 안테나소자와 상기 제 1세트의 안테나소자와 서로 맞물려 배열된 제 2세트의 병렬 안테나소자를 포함하며 상기 챔버에 인접하게 장착된 안테나 어레이(23,24;80,82)와; 챔버내에 있는 웨이퍼 가압판(19;77: wafer platen)과; 제 1위상의 진동에너지를 제 1세트의 안테나 소자에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나 소자에 공급하여 전자가 챔버내에 생성된 플라즈마에 한정되는 주파수에서 플라즈마 챔버에서 대향 이동하는 에너지장을 만드는 에너지원(27-29,32;84-86,89)을 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 대향 이동하는 에너지장의 주파수보다 낮은 주파수에서 바이어스 진동장을 만들기 위해 상기 웨이퍼 가압판(19;77)에 연결된 보조 에너지원(15-17;76-78)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 제 1 및 제 2세트의 안테나소자 각각에 가변용량을 부여하기 위한 가변용량 소자(25,26)를 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제1항에 있어서, 상기 대향 이동하는 에너지장을 가변 듀티펄스에 따라 변조하기 위한 변조수단(40,41)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제2항에 있어서, 상기 바이어스 진동장을 가변 듀티 펄스에 따라 변조하기 위한 변조수단(50,51)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제4항에 있어서, 상기 바이어스 진동장을 가변 듀티 펄스에 따라 변조하기 위한 변조수단(50,51)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제1항에 있어서, 상기 플라즈마에서 전자를 한정하기 위해 정적 전자기장을 만들기 위한 다수의 자석(60,61)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  8. 전기 전도성 상단부재(13)와 절연성 원통형 측면부재(12) 및 하단부재(14)에 의해 형성된 플라즈마 챔버(10); 전기 전도성 환형 상단부재(21)와 원통형 측면부재(20) 및 전기 전도성 환형 하단부재(22)에 의해 형성된 원통형 구조체(11); 상기 전기 전도성 환형 상단부재(21)에 고정된 제 1세트의 병렬 안테나 소자(23) 및 상기 전기 전도성 환형 하단부재(22)에 고정된 제 2세트의 병렬 안테나 소자(24); 상기 챔버내에 있는 웨이퍼 가압판(19); 및 제 1위상의 진동에너지를 제 1세트의 안테나 소자(23)에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나 소자(24)에 공급하여 전자가 챔버내에 생성된 플라즈마에 한정되는 주파수에서 플라즈마 챔버에서 대향 이동하는 에너지장을 만드는 에너지원(27-29,32)을 포함하고; 상기 제 1세트의 안테나소자(23)가 제 2세트의 안테나소자(24)와 서로 맞물려 배열되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제8항에 있어서, 상기 대향 이동하는 에너지장의 주파수보다 낮은 주파수에서 플라즈마 챔버 내에서 바이어스 진동장을 만들기 위해 상기 플라즈마 챔버의 웨이퍼 가압판(19) 및 전기 전도성 상단부재(13)에 연결된 보조 에너지원(15-17)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  10. 제8항에 있어서, 제 1 및 제 2세트의 안테나소자 각각에 가변용량을 부여하기 위한 가변용량 소자(25,26)를 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제8항에 있어서, 상기 대향 이동하는 에너지장을 가변 듀티펄스에 따라 변조하기 위한 변조수단(40,41)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  12. 제8항에 있어서, 상기 바이어스 진동장을 가변 듀티 펄스에 따라 변조하기 위한 변조수단(50,51)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  13. 제11항에 있어서, 상기 바이어스 진동장을 가변 듀티펄스에 따라 변조하기 위한 변조수단(50,51)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  14. 제8항에 있어서, 상기 플라즈마에서 전자를 한정하기 위해 정적 전자기장을 만들기 위한 다수의 자석(60,61)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  15. 제8항에 있어서, 플라즈마를 소망의 포텐셜에서 유지하기 위해 전기 전도성 상단부재(13)에 연결된 가변 DC 전압원(15A)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  16. 절연성 상단부재(71)와 전기 전도성 측면부재(72) 및 하단부재(73)에 의해 형성된 플라즈마 챔버(70); 제 1피더소자(81)에 한 단부가 연결된 제 1세트의 병렬 안테나 소자(80) 및 제 2피더소자(83)에 한 단부가 연결된 제 2세트의 병렬 안테나 소자(82)를 구비하는 안테나; 상기 챔버내에 있는 웨이퍼 가압판(77); 및 제 1위상의 진동에너지를 제 1세트의 안테나 소자(80)에 공급하고 대향한 제 2위상의 진동에너지를 제 2세트의 안테나 소자(82)에 공급하여 전자가 챔버내에 생성된 플라즈마에 한정되는 주파수에서 플라즈마 챔버에서 대향 이동하는 에너지장을 만드는 에너지원(84-86,89)을 포함하고; 상기 제 1세트의 안테나소자(80)가 제 2세트의 안테나소자(82)와 서로 맞물려 배열되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  17. 제16항에 있어서, 상기 대향 이동하는 에너지장의 주파수보다 낮은 주파수에서 플라즈마 챔버에서 바이어스 진동장을 만들기 위해 상기 플라즈마 챔버의 웨이퍼 가압판(77) 및 전기 전도성 측면부재(72)에 연결된 보조 에너지원(76-78)을 부가로 포함하는 것을 특징으로 하는 플라즈마 처리장치.
KR1019960061449A 1995-06-17 1996-11-28 저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치 KR100256155B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP95-155422 1995-06-17
JP30889295 1995-11-28
JP95-308892 1995-11-28
JP15542296 1996-06-17

Publications (2)

Publication Number Publication Date
KR970032301A KR970032301A (ko) 1997-06-26
KR100256155B1 true KR100256155B1 (ko) 2000-05-15

Family

ID=26483438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960061449A KR100256155B1 (ko) 1995-06-17 1996-11-28 저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치

Country Status (3)

Country Link
US (1) US5936352A (ko)
KR (1) KR100256155B1 (ko)
GB (1) GB2307780B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1180975A (ja) 1997-09-04 1999-03-26 Speedfam Co Ltd プラズマエッチング装置の耐食システム及びその方法
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
FR2799921B1 (fr) * 1999-10-19 2002-01-11 Metal Process Procede de production d'un plasma par decharges a barriere multipolaire de type capacitif, et dispositif pour la mise en oeuvre d'un tel procede
JP3586197B2 (ja) * 2000-03-23 2004-11-10 シャープ株式会社 薄膜形成用プラズマ成膜装置
JP2001337311A (ja) * 2000-05-29 2001-12-07 Sony Corp 液晶表示素子の駆動方法
KR20010108968A (ko) * 2000-06-01 2001-12-08 황 철 주 플라즈마 공정장치
JP3820188B2 (ja) * 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
JP3854909B2 (ja) * 2002-08-06 2006-12-06 株式会社日立製作所 プラズマ処理装置
FR2937494B1 (fr) * 2008-10-17 2012-12-07 Centre Nat Rech Scient Source de plasma gazeux basse puissance
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0693447B2 (ja) * 1983-12-23 1994-11-16 株式会社日立製作所 マイクロ波プラズマ処理装置
US4623441A (en) * 1984-08-15 1986-11-18 Advanced Plasma Systems Inc. Paired electrodes for plasma chambers
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0141465B1 (ko) * 1992-03-31 1998-08-17 다니이 아끼오 플라즈마 발생방법 및 그 장치
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH0633246A (ja) * 1992-07-21 1994-02-08 Canon Inc 堆積膜形成方法および堆積膜形成装置
DE4235914A1 (de) * 1992-10-23 1994-04-28 Juergen Prof Dr Engemann Vorrichtung zur Erzeugung von Mikrowellenplasmen
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
JP2641390B2 (ja) * 1994-05-12 1997-08-13 日本電気株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
GB2307780B (en) 1998-06-03
GB2307780A (en) 1997-06-04
US5936352A (en) 1999-08-10
KR970032301A (ko) 1997-06-26
GB9624708D0 (en) 1997-01-15

Similar Documents

Publication Publication Date Title
KR100231221B1 (ko) 고밀도 플라즈마 증착 및 에칭 장치
US5160397A (en) Plasma process apparatus and plasma processing method
KR100188076B1 (ko) 자기 결합성 플래너 플라즈마 형성 방법 및 장치
JP3598717B2 (ja) プラズマ処理装置
US5401351A (en) Radio frequency electron cyclotron resonance plasma etching apparatus
KR100256155B1 (ko) 저전자온도에서 플라즈마를 생산하기 위한 플라즈마 처리장치
US5753066A (en) Plasma source for etching
KR100807131B1 (ko) 단일 주파수 rf전력을 이용하여 웨이퍼를 처리하는 플라즈마 처리시스템, 웨이퍼를 식각하기 위한 플라즈마 처리장치, 및 단일 주파수 rf전력을 이용하여 플라즈마 처리챔버에서 웨이퍼를 처리하는 방법
US20020020494A1 (en) Plasma processing system and method
KR0126056B1 (ko) 2개의 평행판 전극 형태의 건식 에칭 장치
US5838111A (en) Plasma generator with antennas attached to top electrodes
JPS59143330A (ja) プラズマエツチング装置
JP3561080B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR960704363A (ko) 자기적으로 강화된 다중 용량성 플라즈마 발생 장치 및 관련된 방법(magnetically enhanced multiple capacitive plasma generation apparatus and related method)
KR20000060991A (ko) 플라즈마 식각 방법 및 플라즈마 식각 장치
US6909086B2 (en) Neutral particle beam processing apparatus
KR100253080B1 (ko) 반도체 장치의 건식식각 방법 및 그 제조 장치
JP2760845B2 (ja) プラズマ処理装置及びその方法
JP4057541B2 (ja) プラズマ発生システム
US5470426A (en) Plasma processing apparatus
JP2000164394A (ja) プラズマ処理装置
JP2933802B2 (ja) ドライエッチング方法およびその装置
JPH09260097A (ja) プラズマ生成装置
JPH06267863A (ja) マイクロ波プラズマ処理装置
KR20030046189A (ko) 플라즈마 발생장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee